Silicide

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 52113 Experts worldwide ranked by ideXlab platform

Carlton M. Osburn - One of the best experts on this subject based on the ideXlab platform.

  • ultra shallow junction formation using diffusion from Silicides i Silicide formation dopant implantation and depth profiling
    Journal of The Electrochemical Society, 1992
    Co-Authors: H Jiang, Carlton M. Osburn, G Mcguire, Z G Xiao, P. Smith, D Griffis, G A Rozgonyi
    Abstract:

    Shallow junctions have been fabricated in a Silicide-As-diffusion-source process using implantation of BF 2 and As into thin Silicides of cobalt, titanium, nickel, palladium, and platinum with emphasis on CoSi 2 . Ge-implantation was used in an attempt to amorphize the Silicide prior to the boron introduction and thereby eliminate the possible channeling of boron in the polycrystalline Silicide. Cross-section transmission electron microscopy (TEM) shows that Ge implantation created a heavily damaged layer of 10 nm in the Silicide, which was restored to a polycrystalline state after annealing at 900 o C for 10 s

  • incorporation of metal Silicides and refractory metals in vlsi technology
    Applied Surface Science, 1991
    Co-Authors: Carlton M. Osburn, Michael Kellam, C A Canovai, G Mcguire, Z G Xiao, Q F Wang, P. Smith, G A Rozgonyi
    Abstract:

    The advantages and issues associated with the incorporation of metal Silicides and the selective deposition of refractory metals into VLSI device technology are illustrated using examples from 1 to 0.25 μm CMOS technology where the Silicide or metal are formed over a pre-existing junction. While the drive current characteristics, latch-up resistance, and series resistance of junction-clad devices ae generally improved, other characteristics, such as hot electron stability, threshold voltage control, and short channel effect may be adversely effected. Reducing the metal (Silicide) thickness to reduce silicon consumption and thereby allow scaling the junction depth results in films having considerably higher resistivity and poorer thermal stability. The use of Silicide as a diffusion source is shown to be one possible way to scale the technology to smaller dimensions while minimizing the scaling of the Silicide thickness. Here we report low leakage (<10 nA/cm2)n+ and p+ junctions where the junction motion beyond the Silicide is believed to be less than 1 nm.

G A Rozgonyi - One of the best experts on this subject based on the ideXlab platform.

  • ultra shallow junction formation using diffusion from Silicides i Silicide formation dopant implantation and depth profiling
    Journal of The Electrochemical Society, 1992
    Co-Authors: H Jiang, Carlton M. Osburn, G Mcguire, Z G Xiao, P. Smith, D Griffis, G A Rozgonyi
    Abstract:

    Shallow junctions have been fabricated in a Silicide-As-diffusion-source process using implantation of BF 2 and As into thin Silicides of cobalt, titanium, nickel, palladium, and platinum with emphasis on CoSi 2 . Ge-implantation was used in an attempt to amorphize the Silicide prior to the boron introduction and thereby eliminate the possible channeling of boron in the polycrystalline Silicide. Cross-section transmission electron microscopy (TEM) shows that Ge implantation created a heavily damaged layer of 10 nm in the Silicide, which was restored to a polycrystalline state after annealing at 900 o C for 10 s

  • incorporation of metal Silicides and refractory metals in vlsi technology
    Applied Surface Science, 1991
    Co-Authors: Carlton M. Osburn, Michael Kellam, C A Canovai, G Mcguire, Z G Xiao, Q F Wang, P. Smith, G A Rozgonyi
    Abstract:

    The advantages and issues associated with the incorporation of metal Silicides and the selective deposition of refractory metals into VLSI device technology are illustrated using examples from 1 to 0.25 μm CMOS technology where the Silicide or metal are formed over a pre-existing junction. While the drive current characteristics, latch-up resistance, and series resistance of junction-clad devices ae generally improved, other characteristics, such as hot electron stability, threshold voltage control, and short channel effect may be adversely effected. Reducing the metal (Silicide) thickness to reduce silicon consumption and thereby allow scaling the junction depth results in films having considerably higher resistivity and poorer thermal stability. The use of Silicide as a diffusion source is shown to be one possible way to scale the technology to smaller dimensions while minimizing the scaling of the Silicide thickness. Here we report low leakage (<10 nA/cm2)n+ and p+ junctions where the junction motion beyond the Silicide is believed to be less than 1 nm.

Yu Huang - One of the best experts on this subject based on the ideXlab platform.

  • Silicon and Silicide Nanowires: Applications, Fabrication, and Properties - Silicon and Silicide nanowires : applications, fabrication, and properties
    2016
    Co-Authors: Yu Huang
    Abstract:

    In Situ Observations of Vapor-Liquid-Solid Growth of Silicon Nanowires, S. Kodambaka Introduction Experimental 4 Silicon Nanowire Nucleation Kinetics Silicon Nanowire Growth Kinetics Summary and Outlook Growth of Germanium, Silicon, and Ge-Si Heterostructured Nanowires, Shadi A. Dayeh and S. Thomas Picraux Introduction 23 The VLS Growth Mechanism Size Effects in Nanowire Growth Temperature Effects on Nanowire Growth Pressure Effects on Nanowire Growth Dopant Precursor Influence on Nanowire Growth Defects during VLS Growth of Semiconductor Nanowires Ge Core/Si Shell Heterostructured Nanowires Unique Opportunities for Bandgap Engineering in Semiconductor Nanowires Conclusions Transition Metal Silicide Nanowires: Synthetic Methods and Applications, Jeremy M. Higgins, Andrew L. Schmitt, and Song Jin Introduction Formation of Bulk and Thin-Film Metal Silicides in Diffusion Couples Silicide Nanowire Growth Techniques Conclusion Metal Silicide Nanowires: Growth and Properties, L. J. Chen and W. W. Wu Introduction Epitaxial Growth of Silicide Nanowires on Si Substrate Growth of Free-Standing Silicide Nanowires and Their Properties Formation of Silicide/Si/Silicide Nano-Heterostructures from Si Nanowires Conclusions Formation of Epitaxial Silicide in Silicon Nanowires, Yi-Chia Chou, Kuo-Chang Lu, and King-Ning Tu Introduction Introduction to Solid-State Phase Transformation in Thin Film Nanoscale Silicide Formation by Point Contact Reaction between Ni/Co and Si Nanowires Homogeneous Nucleation of Nanoscale Silicide Formation Conclusion Interaction between Inverse Kirkendall Effect and Kirkendall Effect in Nanoshells and Nanowires, A. M. Gusak and T. V. Zaporozhets Introduction Basic Notions Instability of Hollow Nanostructures Formation of Hollow Shells Cross-Over from Formation to Collapse Electrical Transport Properties of Doped Silicon Nanowires, Aya Seike and Iwao Ohdomari Introduction Fabrication Processes and Electrical Measurements Introduction of Strain into Nanowire Channels by Oxidation, and Evaluation of Stress within Individual Nanowires Electrical Characterization of Nanowire FETs Summary Silicon Nanowires and Related Nanostructures as Lithium-Ion Battery Anodes, Liangbing Hu, Lifeng Cui, Seung Sae Hong, James McDonough, and Yi Cui Lithium-Ion Batteries and Different Types of Anodes Advantages and Challenges of Silicon Anodes Thin Film Silicon Anodes and Microsized Particles Vapor-Liquid-Solid (VLS)-Grown SiNWs as High-Capacity Anode Surface Characterization and Electrochemical Analysis of the Solid-Electrolyte Interphase (SEI) on Silicon Nanowires Si Core-Shell Structures for Anodes Other Si Nanostructures Solution-Processed Si Nanostructures Some Fundamental Aspects Remaining Challenges and Commercialization Porous Silicon Nanowires, Yongquan Qu and Xiangfeng Duan Introduction Synthesis of Porous Silicon Nanowires Properties of Porous Silicon Nanowire Applications of Porous Silicon Nanowire Conclusion Nanoscale Contact Engineering for Si Nanowire Devices, Yung-Chen Lin and Yu Huang Scope of the Chapter Introduction Synthetic Approaches to Nanoscale Silicides Contact Formation through Solid-State Reaction Silicide Growth Mechanism New Technical Approaches or Structures for Low-Contact Resistance FET and Short-Channel Device Electronic Properties of Silicide NWs and Silicide/Si/Silicide Heterostructures Conclusion Index

  • kinetic manipulation of Silicide phase formation in si nanowire templates
    Nano Letters, 2013
    Co-Authors: Y Chen, Yungchen Lin, Xing Zhong, Hungchieh Cheng, Xiangfeng Duan, Yu Huang
    Abstract:

    The phase formation sequence of Silicides in two-dimensional (2-D) structures has been well-investigated due to their significance in microelectronics. Applying high-quality Silicides as contacts in nanoscale silicon (Si) devices has caught considerable attention recently for their potential in improving and introducing new functions in nanodevices. However, nucleation and diffusion mechanisms are found to be very different in one-dimensional (1-D) nanostructures, and thus the phase manipulation of Silicides is yet to be achieved there. In this work, we report kinetic phase modulations to selectively enhance or hinder the growth rates of targeted nickel (Ni) Silicides in a Si nanowire (NW) and demonstrate that Ni31Si12, δ-Ni2Si, θ-Ni2Si, NiSi, and NiSi2 can emerge as the first contacting phase at the Silicide/Si interface through these modulations. First, the growth rates of Silicides are selectively tuned through template structure modifications. It is demonstrated that the growth rate of diffusion limit...

A.k. Vasudevan - One of the best experts on this subject based on the ideXlab platform.

  • Key developments in high temperature structural Silicides
    Materials Science and Engineering A-structural Materials Properties Microstructure and Processing, 1999
    Co-Authors: John J. Petrovic, A.k. Vasudevan
    Abstract:

    Significant progress has been made in the past few years in both the scientific understanding of high temperature structural Silicides and in their technological development. This overview highlights key aspects of this structural Silicide research and development, in the areas of materials, composites, and applications. Silicide materials discussed are MoSi2, Mo5Si3, Mo

  • Overview of High Temperature Structural Silicides
    MRS Proceedings, 1993
    Co-Authors: John J. Petrovic, A.k. Vasudevan
    Abstract:

    High temperature structural Silicides represent an important new class of structural materials, with significant potential applications in the range of 1200-1600 °C under oxidizing and aggressive environments. Silicides, particularly those based on MoSi2, are considered to be promising due to their combination of high melting point, elevated temperature oxidation resistance, brittle-to-ductile transition, and electrical conductivity. Possible structural uses for Silicides include their application as matrices in structural Silicide composites, as reinforcements for structural ceramic matrix composites, as high temperature joining materials for structural ceramic components, and as oxidation-resistant coatings for refractory metals and carbon-based materials. The historical development of structural Silicides, their potential applications, and important issues related to their use are discussed.

Ivan P Parkin - One of the best experts on this subject based on the ideXlab platform.

  • solid state metathesis synthesis of metal Silicides reactions of calcium and magnesium Silicide with metal oxides
    Polyhedron, 2002
    Co-Authors: Artur M Nartowski, Ivan P Parkin
    Abstract:

    Abstract Reactions of transition metal oxides (V2O3, V2O5, Nb2O5, LiNbO3, Ta2O5, LiTaO3, MoO3 and Li2MoO4) with lithium Silicide (Li2Si) and calcium Silicide–magnesium Silicide mix (CaSi2, Mg2Si) could be initiated by grinding, flame, filament or bulk thermal methods to produce a range of single phase transition metal Silicides (VSi2, NbSi2 and TaSi2) in good yields (approximately 90%). The Silicides were characterised by X-ray powder diffraction, scanning electron microscopy (SEM), energy dispersive analysis by X-rays (EDAX), electron probe, FTIR and microelemental analysis.