Function Capability

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 204 Experts worldwide ranked by ideXlab platform

David Blaauw - One of the best experts on this subject based on the ideXlab platform.

  • a 28 nm configurable memory tcam bcam sram using push rule 6t bit cell enabling logic in memory
    IEEE Journal of Solid-state Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2–5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

  • A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory
    IEEE Journal of Solid-State Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2-5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

Supreet Jeloka - One of the best experts on this subject based on the ideXlab platform.

  • a 28 nm configurable memory tcam bcam sram using push rule 6t bit cell enabling logic in memory
    IEEE Journal of Solid-state Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2–5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

  • A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory
    IEEE Journal of Solid-State Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2-5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

Dennis Sylvester - One of the best experts on this subject based on the ideXlab platform.

  • a 28 nm configurable memory tcam bcam sram using push rule 6t bit cell enabling logic in memory
    IEEE Journal of Solid-state Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2–5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

  • A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory
    IEEE Journal of Solid-State Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2-5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

Naveen Bharathwaj Akesh - One of the best experts on this subject based on the ideXlab platform.

  • a 28 nm configurable memory tcam bcam sram using push rule 6t bit cell enabling logic in memory
    IEEE Journal of Solid-state Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2–5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

  • A 28 nm Configurable Memory (TCAM/BCAM/SRAM) Using Push-Rule 6T Bit Cell Enabling Logic-in-Memory
    IEEE Journal of Solid-State Circuits, 2016
    Co-Authors: Supreet Jeloka, Naveen Bharathwaj Akesh, Dennis Sylvester, David Blaauw
    Abstract:

    Conventional content addressable memory (BCAM and TCAM) uses specialized 10T/16T bit cells that are significantly larger than 6T SRAM cells. A new BCAM/TCAM is proposed that can operate with standard push-rule 6T SRAM cells, reducing array area by 2-5× and allowing reconfiguration of the SRAM as a CAM. In this way, chip area and overall capacitance can be reduced, leading to higher energy efficiency for search operations. In addition, the configurable memory can perform bit-wise logical operations: “AND” and “NOR” on two or more words stored within the array. Thus, the configurable memory with CAM and logical Function Capability can be used to off-load specific computational operations to the memory, improving system performance and efficiency. Using a 6T 28 nm FDSOI SRAM bit cell, the 64×64 (4 kb) BCAM achieves 370 MHz at 1 V and consumes 0.6 fJ/search/bit. A logical operation between two 64 bit words achieves 787 MHz at 1 V.

H. P. Bischoff - One of the best experts on this subject based on the ideXlab platform.

  • Proliferation therapy (sclerosis therapy). Reconstructive ligament and tendon therapy in joint instability
    Manuelle Medizin, 1999
    Co-Authors: J. R. Weingart, H. P. Bischoff
    Abstract:

    Prolotherapy is defined as a reconstructive ligament therapy in joint instability. The substances which are used, the injection technique, their side effects and the prognosis after injection will be pointed out. Ligaments are the main stabilizing structures of all joints. Their different structures, changeable Function, Capability of compensation and the causes of dysFunction are pointed out in this article. There seems to be the need for some information concerning the definition of joint stability, joint hypermobility, joint instability and the phenomenon of ligamental dysbalance. With this synopsis the lack of information should be diminished in the german speaking countries.

  • Proliferation therapy (sclerosis therapy). Reconstructive ligament and tendon therapy in joint instability
    Manuelle Medizin, 1999
    Co-Authors: J. R. Weingart, H. P. Bischoff
    Abstract:

    Die Proliferationstherapie hat in den letzten Jahren an Bedeutung international eminent gewonnen und wird als Therapieform bei Gelenkinstabilität eingesetzt. Im folgenden wird eingegangen auf das Wirkprinzip dieser Therapieform, die Wirkungsweise der Injektionssubstanzarten, die Injektionstechnik und Prognose. Die Darstellung soll als Übersichtsarbeit verstanden werden, da die Prolotherapie im deutschsprachigen Raum auch bei Ärzten, die täglich mit muskuloskeletalen Problemen arbeiten, relativ unbekannt ist. Deshalb werden übersichtsmäßig für die Ligamente und Sehnen die unterschiedliche Strukturierung, veränderbare Funktion, diesbezügliche Kompensations- und Anpassungsfähigkeit sowie Ursachen von Dysfunktionen dargestellt. Ferner wird Stellung bezogen zu den Begriffen Gelenkstabilität, Hypermobilität, Instabilität und dem Phänomen der ligamentären Dysbalance, um die Indikation für die Prolotherapie so präzise wie möglich entwickeln zu können. Prolotherapy is defined as a reconstructive ligament therapy in joint instability. The substances which are used, the injection technique, their side effects and the prognosis after injection will be pointed out. Ligaments are the main stabilizing structures of all joints. Their different structures, changeable Function, Capability of compensation and the causes of dysFunction are pointed out in this article. There seems to be the need for some information concerning the definition of joint stability, joint hypermobility, joint instability and the phenomenon of ligamental dysbalance. With this synopsis the lack of information should be diminished in the german speaking countries.