Outgassing

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 22779 Experts worldwide ranked by ideXlab platform

Toshiro Itani - One of the best experts on this subject based on the ideXlab platform.

  • Extreme Ultraviolet Resist Outgassing Quantification Verification by Resist Film Analysis
    Japanese Journal of Applied Physics, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Numerous investigations on extreme ultraviolet (EUV) resist Outgassing have been performed using the pressure rise and gas chromatography mass spectrometry (GC–MS) method. However, the reliability of the results obtained with these methods has not been clearly discussed. In this paper, to analyze and verify the reliability of the resist Outgassing results, de-protection reaction and photo acid generator's (PAG's) decomposing reaction in the resist film, which are viewed as the main source of resist Outgassing, are investigated using the Fourier transform infrared spectroscopy (FT-IR). Analysis was performed on a resist film after EUV exposure, before post exposure bake (PEB). Based on the measured amount of de-protection reaction in the resist film, the amounts of resist Outgassing released were calculated. It was found that the resist Outgassing amount obtained through FT-IR was somewhere between those obtained using the pressure rise and GC–MS methods results. These similarities in the results substantiate the reliability of resist Outgassing amounts obtained through these analysis methods.

  • EUV resist Outgassing quantification and application
    Advances in Resist Materials and Processing Technology XXVI, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    The measurement 'lower limit' and repeatability of EUV resist Outgassing analysis using the pressure rise and gas chromatography mass spectrometry (GC-MS) methods are investigated and discussed. Resist Outgassing rate and amount measurement results showed a good repeatability with the application of the same method. As for measurement differences between dissimilar analysis methods (pressure rise and GC-MS), a relative difference of around 10 times was obtained. In addition, qualitative analysis performed using the GC-MS showed the need for clean measurement environment (significantly high vacuum conditions) to reduce the effect of background components affecting the measurement quality. Under such measurement conditions, an accurate analysis of the exact source of resist Outgassing components was identified. As a result, it was confirmed that resist Outgassing of the EUV resist is mostly composed of photo acid generator and protecting group byproducts.

  • Outgassing Quantification Analysis of Extreme Ultraviolet Resists
    Japanese Journal of Applied Physics, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing quantification analysis using the pressure rise method with a modified ideal gas equation is discussed. The use of the Outgassing amounts based on the E0 and/or multiples of E0 is recommended as this information may be crucial in understanding the behavior of resist Outgassing at various exposure or patterning doses. Furthermore, this method is effective in representing resist Outgassing release mechanisms which were found to differ greatly depending on the resist formulation. Applying this method with quadrupole mass spectrometry (QMS), analysis of the resist Outgassing obtained from a model poly(hydroxystyrene) (PHS) resist with varied quencher concentrations showed that the decrease and shift of the maximum pressure rise peak of the pressure rise curve as quencher concentration was increased was found to be due to the suppression of photo acid production which, in effect controls the amount of protecting group released as resist Outgassing.

  • Quantitative analysis of EUV resist Outgassing
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Shinji Kobayashi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing is viewed as one of the main factors to be considered in the research and development of EUV resists. The release of resist by Outgassing in a high-vacuum EUV exposure tool system can mean contaminated optics which in effect causes a decrease in EUV energy reaching the wafer surface. An energy decrease could translate to lower throughputs and lesser productivity. In this paper, the quantification of resist Outgassing upon EUV exposure is discussed. Special attention is given to the variation of resist Outgassing quantification between evaluation tools of different beam intensities using the pressure rise method. Besides the commonly used resist Outgassing rate calculation, the utilization of the resist Outgassing amount as basis for comparison is proposed. Three types of resists were analyzed in two resist Outgassing evaluation tools of different EUV beam intensities. As a result, resist Outgassing rate was found to vary 19 to 109 times between evaluation tools. In contrast, resist Outgassing amount was found to vary 1 to 2 times between evaluation tools. From these results, it is proposed that resist Outgassing evaluations be performed using resist Outgassing amount.

  • EUV resist Outgassing analysis in Selete
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    To keep in pace with the highly accelerated speed of development of EUV resists, the use of the pressure rise method in the screening of EUV resist Outgassing was utilized. This method was used for its advantage of in-situ applicability and evaluation speed (short evaluation time). Both “Outgassing rate” [molecules/cm2/s] and “Outgassing amount” [molecules/cm2] unit conventions have been obtained. In the conference, an overview of the latest EUV resist Outgassing analysis results using various EUV resists (i.e. chemical amplified, PHS, acrelate, high Ea, low Ea, negative-tone, molecular, etc.) will be discussed in detail.

Shinji Kobayashi - One of the best experts on this subject based on the ideXlab platform.

  • Extreme Ultraviolet Resist Outgassing Quantification Verification by Resist Film Analysis
    Japanese Journal of Applied Physics, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Numerous investigations on extreme ultraviolet (EUV) resist Outgassing have been performed using the pressure rise and gas chromatography mass spectrometry (GC–MS) method. However, the reliability of the results obtained with these methods has not been clearly discussed. In this paper, to analyze and verify the reliability of the resist Outgassing results, de-protection reaction and photo acid generator's (PAG's) decomposing reaction in the resist film, which are viewed as the main source of resist Outgassing, are investigated using the Fourier transform infrared spectroscopy (FT-IR). Analysis was performed on a resist film after EUV exposure, before post exposure bake (PEB). Based on the measured amount of de-protection reaction in the resist film, the amounts of resist Outgassing released were calculated. It was found that the resist Outgassing amount obtained through FT-IR was somewhere between those obtained using the pressure rise and GC–MS methods results. These similarities in the results substantiate the reliability of resist Outgassing amounts obtained through these analysis methods.

  • EUV resist Outgassing quantification and application
    Advances in Resist Materials and Processing Technology XXVI, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    The measurement 'lower limit' and repeatability of EUV resist Outgassing analysis using the pressure rise and gas chromatography mass spectrometry (GC-MS) methods are investigated and discussed. Resist Outgassing rate and amount measurement results showed a good repeatability with the application of the same method. As for measurement differences between dissimilar analysis methods (pressure rise and GC-MS), a relative difference of around 10 times was obtained. In addition, qualitative analysis performed using the GC-MS showed the need for clean measurement environment (significantly high vacuum conditions) to reduce the effect of background components affecting the measurement quality. Under such measurement conditions, an accurate analysis of the exact source of resist Outgassing components was identified. As a result, it was confirmed that resist Outgassing of the EUV resist is mostly composed of photo acid generator and protecting group byproducts.

  • Outgassing Quantification Analysis of Extreme Ultraviolet Resists
    Japanese Journal of Applied Physics, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing quantification analysis using the pressure rise method with a modified ideal gas equation is discussed. The use of the Outgassing amounts based on the E0 and/or multiples of E0 is recommended as this information may be crucial in understanding the behavior of resist Outgassing at various exposure or patterning doses. Furthermore, this method is effective in representing resist Outgassing release mechanisms which were found to differ greatly depending on the resist formulation. Applying this method with quadrupole mass spectrometry (QMS), analysis of the resist Outgassing obtained from a model poly(hydroxystyrene) (PHS) resist with varied quencher concentrations showed that the decrease and shift of the maximum pressure rise peak of the pressure rise curve as quencher concentration was increased was found to be due to the suppression of photo acid production which, in effect controls the amount of protecting group released as resist Outgassing.

  • Quantitative analysis of EUV resist Outgassing
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Shinji Kobayashi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing is viewed as one of the main factors to be considered in the research and development of EUV resists. The release of resist by Outgassing in a high-vacuum EUV exposure tool system can mean contaminated optics which in effect causes a decrease in EUV energy reaching the wafer surface. An energy decrease could translate to lower throughputs and lesser productivity. In this paper, the quantification of resist Outgassing upon EUV exposure is discussed. Special attention is given to the variation of resist Outgassing quantification between evaluation tools of different beam intensities using the pressure rise method. Besides the commonly used resist Outgassing rate calculation, the utilization of the resist Outgassing amount as basis for comparison is proposed. Three types of resists were analyzed in two resist Outgassing evaluation tools of different EUV beam intensities. As a result, resist Outgassing rate was found to vary 19 to 109 times between evaluation tools. In contrast, resist Outgassing amount was found to vary 1 to 2 times between evaluation tools. From these results, it is proposed that resist Outgassing evaluations be performed using resist Outgassing amount.

  • EUV resist Outgassing analysis in Selete
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    To keep in pace with the highly accelerated speed of development of EUV resists, the use of the pressure rise method in the screening of EUV resist Outgassing was utilized. This method was used for its advantage of in-situ applicability and evaluation speed (short evaluation time). Both “Outgassing rate” [molecules/cm2/s] and “Outgassing amount” [molecules/cm2] unit conventions have been obtained. In the conference, an overview of the latest EUV resist Outgassing analysis results using various EUV resists (i.e. chemical amplified, PHS, acrelate, high Ea, low Ea, negative-tone, molecular, etc.) will be discussed in detail.

Julius Joseph Santillan - One of the best experts on this subject based on the ideXlab platform.

  • Extreme Ultraviolet Resist Outgassing Quantification Verification by Resist Film Analysis
    Japanese Journal of Applied Physics, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Numerous investigations on extreme ultraviolet (EUV) resist Outgassing have been performed using the pressure rise and gas chromatography mass spectrometry (GC–MS) method. However, the reliability of the results obtained with these methods has not been clearly discussed. In this paper, to analyze and verify the reliability of the resist Outgassing results, de-protection reaction and photo acid generator's (PAG's) decomposing reaction in the resist film, which are viewed as the main source of resist Outgassing, are investigated using the Fourier transform infrared spectroscopy (FT-IR). Analysis was performed on a resist film after EUV exposure, before post exposure bake (PEB). Based on the measured amount of de-protection reaction in the resist film, the amounts of resist Outgassing released were calculated. It was found that the resist Outgassing amount obtained through FT-IR was somewhere between those obtained using the pressure rise and GC–MS methods results. These similarities in the results substantiate the reliability of resist Outgassing amounts obtained through these analysis methods.

  • EUV resist Outgassing quantification and application
    Advances in Resist Materials and Processing Technology XXVI, 2009
    Co-Authors: Shinji Kobayashi, Hiroaki Oizumi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    The measurement 'lower limit' and repeatability of EUV resist Outgassing analysis using the pressure rise and gas chromatography mass spectrometry (GC-MS) methods are investigated and discussed. Resist Outgassing rate and amount measurement results showed a good repeatability with the application of the same method. As for measurement differences between dissimilar analysis methods (pressure rise and GC-MS), a relative difference of around 10 times was obtained. In addition, qualitative analysis performed using the GC-MS showed the need for clean measurement environment (significantly high vacuum conditions) to reduce the effect of background components affecting the measurement quality. Under such measurement conditions, an accurate analysis of the exact source of resist Outgassing components was identified. As a result, it was confirmed that resist Outgassing of the EUV resist is mostly composed of photo acid generator and protecting group byproducts.

  • Outgassing Quantification Analysis of Extreme Ultraviolet Resists
    Japanese Journal of Applied Physics, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing quantification analysis using the pressure rise method with a modified ideal gas equation is discussed. The use of the Outgassing amounts based on the E0 and/or multiples of E0 is recommended as this information may be crucial in understanding the behavior of resist Outgassing at various exposure or patterning doses. Furthermore, this method is effective in representing resist Outgassing release mechanisms which were found to differ greatly depending on the resist formulation. Applying this method with quadrupole mass spectrometry (QMS), analysis of the resist Outgassing obtained from a model poly(hydroxystyrene) (PHS) resist with varied quencher concentrations showed that the decrease and shift of the maximum pressure rise peak of the pressure rise curve as quencher concentration was increased was found to be due to the suppression of photo acid production which, in effect controls the amount of protecting group released as resist Outgassing.

  • Quantitative analysis of EUV resist Outgassing
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Shinji Kobayashi, Julius Joseph Santillan, Toshiro Itani
    Abstract:

    Extreme ultraviolet (EUV) resist Outgassing is viewed as one of the main factors to be considered in the research and development of EUV resists. The release of resist by Outgassing in a high-vacuum EUV exposure tool system can mean contaminated optics which in effect causes a decrease in EUV energy reaching the wafer surface. An energy decrease could translate to lower throughputs and lesser productivity. In this paper, the quantification of resist Outgassing upon EUV exposure is discussed. Special attention is given to the variation of resist Outgassing quantification between evaluation tools of different beam intensities using the pressure rise method. Besides the commonly used resist Outgassing rate calculation, the utilization of the resist Outgassing amount as basis for comparison is proposed. Three types of resists were analyzed in two resist Outgassing evaluation tools of different EUV beam intensities. As a result, resist Outgassing rate was found to vary 19 to 109 times between evaluation tools. In contrast, resist Outgassing amount was found to vary 1 to 2 times between evaluation tools. From these results, it is proposed that resist Outgassing evaluations be performed using resist Outgassing amount.

  • EUV resist Outgassing analysis in Selete
    Advances in Resist Materials and Processing Technology XXV, 2008
    Co-Authors: Julius Joseph Santillan, Shinji Kobayashi, Toshiro Itani
    Abstract:

    To keep in pace with the highly accelerated speed of development of EUV resists, the use of the pressure rise method in the screening of EUV resist Outgassing was utilized. This method was used for its advantage of in-situ applicability and evaluation speed (short evaluation time). Both “Outgassing rate” [molecules/cm2/s] and “Outgassing amount” [molecules/cm2] unit conventions have been obtained. In the conference, an overview of the latest EUV resist Outgassing analysis results using various EUV resists (i.e. chemical amplified, PHS, acrelate, high Ea, low Ea, negative-tone, molecular, etc.) will be discussed in detail.

Takeo Watanabe - One of the best experts on this subject based on the ideXlab platform.

  • An analysis of EUV-resist Outgassing measurements
    Advances in Resist Materials and Processing Technology XXIV, 2007
    Co-Authors: Kim Dean, Hiroaki Oizumi, Iwao Nishiyama, Wang Yueh, Takeo Watanabe, Anthony Keen, Heidi Cao, Paolo Lacovig, L. Rumiz, Gregory Denbeaux
    Abstract:

    Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist Outgassing benchmarking experiment to compare different Outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured Outgassing products. The next steps are to correlate Outgassing measurements to witness plate experiments.

  • Resist Outgassing Characteristics in Extreme Ultraviolet Lithography
    Japanese Journal of Applied Physics, 2004
    Co-Authors: Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita, Hideo Hada, Hiroshi Komano
    Abstract:

    Extreme ultraviolet (EUV) lithography requires a vacuum environment for exposure. The hydrocarbons Outgassing ion species affect the reflectivity of the mask and the imaging mirror under EUV irradiation. Concerning the high-annealing-type chemically amplified (CA) resist based on the polyhydroxy styrene (PHS) resin, it is confirmed that propylene glycol monomethylether (PGME) which is employed as a solvent has the lowest Outgassing characteristics under EUV irradiation. Mitigation of the hydrocarbons Outgassing species and line edge roughness (LER) requires the main-chain-decomposition-type CA resist. From the Outgassing measurement results, the methacrylate base resin can be employed in the resist process in EUV lithography.

  • Evaluation of resist Outgassing by EUV irradiation
    Emerging Lithographic Technologies VIII, 2004
    Co-Authors: Hideo Hada, Takeo Watanabe, Hiroo Kinoshita, Kazuhiko Hamamoto, Hiroshi Komano
    Abstract:

    Extreme ultraviolet (EUV) lithography requires a vacuum environment for exposure. Therefore the understanding of the Outgassing hydrocarbon ion species of the photoresist becomes important. Contamination due to the hydrocarbons affects the optics of the EUV tool such as the reflectivity of the mask and the imaging mirror. We discuss here of the Outgassing dependence of resist polymer structure and solvent type under the EUV irradiation. The resist components require photochemical stability and low evaporating species for EUV lithography. The Methacryl backboned polymer has indicated larger Outgassing value rather than PHS backboned polymer, which due to the quaternary carbon induced de-polymerization reaction. The main reaction is seemed to be due to the ester structure decomposed reaction. The selection of the basic polymer structure, ester ratio in the backbone and protecting group are very important for a low Outgassing resist design. Our results show resist which contain PGME, MAK, and MMP as the solvent, have lower Outgassing characteristics under the EUV irradiation. This characteristic is own to the low residual solvent content in resist film prior to the EUV irradiation. As for results, the high annealing type CA resist based on the PHS polymer and PGME solvent have the lowest Outgassing characteristics under the EUV irradiation.

  • Photoinduced Outgassing from the resist for extreme ultraviolet lithography by the analysis of mass spectroscopy
    Journal of Vacuum Science & Technology B, 2001
    Co-Authors: Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita, Harushige Tsubakino, Hideo Hada, Hiroshi Komano, Shigeo Irie
    Abstract:

    Extreme ultraviolet lithography (EUVL) requires the vacuum environment for exposing the resist. The contamination in the vacuum environment decreases the reflectivity of the reflective mask and that of the imaging optics. The photoinduced Outgassing from the resist becomes the contamination in the vacuum environment. Therefore, the Outgassing detection investigation is very important. The Outgassing from the chemically amplified (CA) resists EUV001 for EUVL, EUV006N for EUVL, UV5 for KrF lithography and the nonchemically amplified resists OEBR2000 and ZEP520 for electron beam lithography were investigated. Based on the photoinduced reactions of the resist, the fragment ions species that were measured by the quadrupole mass spectrometer were identified. It is found that the amount of the photoinduced Outgassing such as hydrocarbons from the DQN resist and annealing-type CA positive-tone resist is small.

  • Resist Outgassing by EUV Irradiation
    Journal of Photopolymer Science and Technology, 2001
    Co-Authors: Takeo Watanabe, Kazuhiro Hamamoto, Hiroo Kinoshita, Harushige Tsubakino, Hideo Hada, Hiroshi Komano, Masayuki Endo, Masaru Sasago
    Abstract:

    Extreme ultraviolet (EUV) lithography requires the vacuum environment for the exposure. The hydrocarbons Outgassing ions species affects the reflectivity of the mask and the imaging mirror under EUV irradiation. The photo-induced Outgassing was investigated for both the non-chemically amplified resists and the chemically amplified resists which can be used for EUVL. We found out the chemically amplifed positive tone resist which has low Outgassing characteristics under the EUV irradiation. Furthermore, it is found that the photodecomposition occurred under EUV irradiation from the resist Outgassing investigation.

Jurriaan Schmitz - One of the best experts on this subject based on the ideXlab platform.

  • Qualitative and quantitative characterization of Outgassing from SU-8
    Microelectronic Engineering, 2009
    Co-Authors: Joost Melai, Cora Salm, Rob Wolters, Jurriaan Schmitz
    Abstract:

    SU-8 is often used as a structural material in Microsystems. In this work, the Outgassing characteristics from such cross-linked SU-8 layers are studied using mass spectrometry and gas-chromatography techniques. With these methods the composition of the released matter can be identified, also the Outgassing rate can be quantitatively characterized. A qualitative estimate of Outgassing from SU-8 is given for crosslinked layers. The effect of hard-bakes is studied in situ by measuring at typical hard-bake temperatures. These tests indicate that a hard-bake is needed to provide good performance in UHV environments. Using gas-chromatography the Outgassing rate from SU-8 is determined. The total Outgassing rate is inversely proportional with time which further illustrates the effect of a hard-bake step

  • Further Outgassing studies on SU-8
    2008
    Co-Authors: Joost Melai, Víctor M. Blanco Carballo, Cora Salm, R.a.m. Wolters, Jurriaan Schmitz
    Abstract:

    SU-8 is often used as a structural material in microsystems. In this work the Outgassing characteristics from such cross-linked SU-8 layers are studied using Mass Spectrometry and Gas Chromatography techniques. With these methods the composition of the released matter can be identified, also the Outgassing rate can be quantitatively characterized. A qualitative estimate of Outgassing from SU-8 is given for cross-linked layers. The effect of Hard-Bakes is studied in situ by measuring at typical Hard-Bake temperatures. These tests indicate that a Hard-Bake is needed to provide good performance in UHV environments. Using Gas-Chromatography the Outgassing rate from SU-8 is determined. The total Outgassing rate is inversely proportional with time which further illustrates the effect of a hard-bake step.