Eye Diagram

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 9495 Experts worldwide ranked by ideXlab platform

Sumin Choi - One of the best experts on this subject based on the ideXlab platform.

  • A Novel Eye-Diagram Estimation Method for Pulse Amplitude Modulation With N-Level (PAM-N) on Stacked Through-Silicon Vias
    IEEE Transactions on Electromagnetic Compatibility, 2019
    Co-Authors: Junyong Park, Sumin Choi, Shinyoung Park, Daniel H. Jung, Gapyeol Park
    Abstract:

    This paper, for the first time, proposed a novel Eye-Diagram estimation method for pulse amplitude modulation with N-level (PAM-N) signaling. For verification, a through-silicon via (TSV) channel was fabricated. Because the input of the proposed method is an insertion loss, the loss of the fabricated TSV channel was measured up to 110 GHz. The estimated Eye Diagrams and measured Eye Diagrams were compared for the same TSV channel. The proposed method and measurements have nearly the same Eye-height and Eye-width values at data rates of 2, 4, and 8 Gb/s. Therefore, the proposed method successfully provides PAM-N's Eye Diagram with the insertion loss. Furthermore, bathtub curves were compared for the proposed method and measurements. The proposed method provides a bathtub curve up to 10-12, but in contrast, the measurements only provide a bathtub curve up to 10-5 due to a limited number of samples. Both of the bathtub curves are nearly the same up to 10-5 in amplitude. In conclusion, the Eye-Diagram estimation method for PAM-N signaling is successfully proposed and verified.

  • Eye-Diagram estimation with stochastic model for 8B/10B encoded high-speed channel
    2018 IEEE International Symposium on Electromagnetic Compatibility and 2018 IEEE Asia-Pacific Symposium on Electromagnetic Compatibility (EMC APEMC), 2018
    Co-Authors: Junyong Park, Sumin Choi
    Abstract:

    This paper proposes an Eye-Diagram estimation method for 8B/10B encoded channel. The stochastic model describes the encoder with respect to probability, which replaces the practical encoder. Because the 8B/10B encoder consists of 3-to-4 and 5-to-6 look-up-table (LUTs), they can be represented as its own stochastic model. For verification, we compare the statistical Eye-Diagram by the proposed method and the SPICE at the same data rate. The changes on the Eye-Diagram for both cases are nearly the same by the encoder. Therefore, the proposed method successfully achieves the Eye-Diagram evaluation for the 8B/10B encoded high-speed channel.

  • statistical Eye Diagram estimation method for high speed channel with n tap decision feedback equalizer dfe
    Asia-Pacific Symposium on Electromagnetic Compatibility, 2018
    Co-Authors: Junyong Park, Huijin Song, Sumin Choi
    Abstract:

    In this paper, we propose a statistical Eye-Diagram estimation method for a high-speed channel including N-tap decision feedback equalizer (DFE). This paper proposes a behavior model for the DFE to replace the DFE in the proposed method. The proposed behavior model fully describes the N-tap DFE, which results in successful Eye-Diagram estimation with the N-tap DFE. In order to show the effect of the DFE depending on its coefficients, this paper shows the corresponding estimated Eye-Diagrams. For further analysis on the DFE, we also conducted parametric sweep on the coefficient with the proposed method. For verification, we provide a transient simulation under the same condition. From the comparison, the estimated and simulated Eye-Diagrams are nearly the same. Therefore, this paper successfully proposes and verifies the statistical Eye-Diagram estimation method with the N-tap DFE for high-speed serial channels.

  • A Novel Stochastic Model-Based Eye-Diagram Estimation Method for 8B/10B and TMDS-Encoded High-Speed Channels
    IEEE Transactions on Electromagnetic Compatibility, 2018
    Co-Authors: Junyong Park, Sumin Choi, Huijin Song
    Abstract:

    This paper, for the first time, proposes a novel stochastic model-based Eye-Diagram estimation method for 8B/10B and transition-minimized differential signaling (TMDS)-encoded high-speed channels. A stochastic model describes a behavior of an encoder with respect to probability. The previous Eye-Diagram estimation methods are based on an assumption that each bit has the same probability for 1 s and 0 s. However, the assumption limits to estimate an accurate Eye-Diagram for encoded high-speed channels. We first propose and apply the stochastic model for two types of 8B/10B encodings: 8B/10B and TMDS. For verification, we design the 8B/10B and TMDS encoder within MATLAB. The transient simulation for the 8B/10B encoded channels requires 9700 and 6600 s, respectively. However, the proposed method only requires 23 s in both cases. Furthermore, in the bit-error rate, the transient simulation provides the bathtub curve up to 10-2 due to processing time and computing resources. In contrast, the proposed method with the stochastic model provides the bathtub curve up to 10-8. In conclusion, this paper successfully proposes and verifies the stochastic model-based Eye-Diagram estimation method for 8B/10B-encoded high-speed channels.

  • Eye-Diagram Estimation Methods for Voltage-and Probability-Dependent PAM-4 Signal on Stacked Through-Silicon Vias (TSVs)
    2017 IEEE 67th Electronic Components and Technology Conference (ECTC), 2017
    Co-Authors: Junyong Park, Sumin Choi
    Abstract:

    This paper proposes Eye-Diagram estimation methods for voltage-and probability-dependent pulse amplitude modulation (PAM4) signal on stacked through-silicon vias (TSVs). To satisfy demands on a high-speed and small form factor, the number of TSVs and the data rate on the TSVs have been increased. The number of stacked TSVs is related to the electrical performance due to its electrical length. The non-return-zero (NRZ) has a transition time depending on a data rate, thus the NRZ has high-frequency components for the high-speed channel. The PAM4 has been emerged as an alternative for the NRZ, because the PAM4 has lower bandwidth than that of the NRZ. However, the PAM4 additionally has 9 dB conversion loss for multiple logic states. Therefore, the comparison between the PAM4 and NRZ should be required for the high-speed channel. The proposed estimation method for the PAM4 can obtain the Eye-Diagram using a single bit response (SBR) of the channel. The proposed methods provides not only the Eye-Diagram but also a data-dependent jitter (DDJ), random jitter (RJ), and bit-error rate (BER) analysis. For verification, the estimated Eye-Diagrams and the MATLAB-based Eye-Diagrams are compared. Because their Eye-Diagrams are nearly the same, the proposed methods for the PAM4 on the stacked TSVs are validated.

Abhijit Chatterjee - One of the best experts on this subject based on the ideXlab platform.

  • Multi-channel testing architecture for high-speed Eye-Diagram using pin electronics and subsampling monobit reconstruction algorithms
    2014 IEEE 32nd VLSI Test Symposium (VTS), 2014
    Co-Authors: Thomas Moon, Hyun Woo Choi, David C. Keezer, Abhijit Chatterjee
    Abstract:

    This paper proposes a new multi-channel testing architecture for high-speed Eye-Diagram. The proposed architecture reconstructs the Eye-Diagram of a multi-Gbps bit pattern with the combination of pin electronics and reconstruction algorithms. A scalability of the test system significantly increases in behalf of a monobit receiver and its designated reconstruction algorithm. A novel reconstruction algorithm using monobit receiver and subsampling clock enables the test system to monitor the signal quality in low-cost. The proposed architecture is implemented and demonstrated in a hardware prototype. Experiment with the hardware prototype shows that an Eye-Diagram of 3.2Gbps bit pattern can be reconstructed within sub-picosecond resolution by the proposed method with subsampling clock (below 100MHz).

  • VTS - Multi-channel testing architecture for high-speed Eye-Diagram using pin electronics and subsampling monobit reconstruction algorithms
    2014 IEEE 32nd VLSI Test Symposium (VTS), 2014
    Co-Authors: Thomas Moon, David C. Keezer, Hyun Choi, Abhijit Chatterjee
    Abstract:

    This paper proposes a new multi-channel testing architecture for high-speed Eye-Diagram. The proposed architecture reconstructs the Eye-Diagram of a multi-Gbps bit pattern with the combination of pin electronics and reconstruction algorithms. A scalability of the test system significantly increases in behalf of a monobit receiver and its designated reconstruction algorithm. A novel reconstruction algorithm using monobit receiver and subsampling clock enables the test system to monitor the signal quality in low-cost. The proposed architecture is implemented and demonstrated in a hardware prototype. Experiment with the hardware prototype shows that an Eye-Diagram of 3.2Gbps bit pattern can be reconstructed within sub-picosecond resolution by the proposed method with subsampling clock (below 100MHz).

Chung-kuan Cheng - One of the best experts on this subject based on the ideXlab platform.

  • accurate Eye Diagram prediction based on step response and its application to low power equalizer design
    IEICE Transactions on Electronics, 2009
    Co-Authors: Y U Wenjian, Chung-kuan Cheng
    Abstract:

    SUMMARY This paper introduces a step response based method to predict the Eye Diagram for high-speed signaling systems. The method is able to predict accurately the worst-case Eye Diagram, and is orders of magnitude faster than the method using SPICE simulation with input of random bits. The proposed method is applied to search optimal equalizer parameters for lower-power transmission-line signaling schemes. Simulation results show that the scheme with driver-side series capacitor achieves much better Eye area, and signaling throughput than the conventional scheme with only resistive terminations.

  • analytical Eye Diagram model for on chip distortionless transmission lines and its application to design space exploration
    IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, 2008
    Co-Authors: Masanori Hashimoto, Jangsombatsiri Siriporn, Akira Tsuchiya, Chung-kuan Cheng
    Abstract:

    This paper proposes a closed-form Eye-Diagram model for on-chip distortionless transmission lines with intentionally inserted shunt conductance. We derive expressions of Eye-opening both in voltage and time, by assuming a piece-wise linear waveform model. The model is experimentally verified with various length, shunt conductance and resistive termination. We also apply the proposed model to design space exploration, and demonstrate that the proposed model helps estimate the optimal shunt conductance and resistive termination according to required signaling length and throughput.

  • ICCAD - Efficient and accurate Eye Diagram prediction for high speed signaling
    2008 IEEE ACM International Conference on Computer-Aided Design, 2008
    Co-Authors: Wenjian Yu, Chung-kuan Cheng
    Abstract:

    This paper introduces an accumulative prediction method to predict the Eye Diagram for high speed signaling systems. We use the step responses of pull-up and pull-down to extract the worst-case Eye Diagram, including the Eye height and jitter. Furthermore, the method produces the input patterns of the worst-case intersymbol interference. The algorithm handles signals of either symmetric or asymmetric rise/fall time. Experimental results demonstrate the accuracy and efficiency of the proposed method.

  • Efficient and accurate Eye Diagram prediction for high speed signaling
    2008 IEEE ACM International Conference on Computer-Aided Design, 2008
    Co-Authors: Wenjian Yu, Chung-kuan Cheng
    Abstract:

    This paper introduces an accumulative prediction method to predict the Eye Diagram for high speed signaling systems. We use the step responses of pull-up and pull-down to extract the worst-case Eye Diagram, including the Eye height and jitter. Furthermore, the method produces the input patterns of the worst-case intersymbol interference. The algorithm handles signals of either symmetric or asymmetric rise/fall time. Experimental results demonstrate the accuracy and efficiency of the proposed method.

  • CICC - Analytical Eye-Diagram Model for On-chip Distortionless Transmission Lines and Its Application to Design Space Exploration
    2007 IEEE Custom Integrated Circuits Conference, 2007
    Co-Authors: Masanori Hashimoto, Jangsombatsiri Siriporn, Akira Tsuchiya, Chung-kuan Cheng
    Abstract:

    This paper proposes a closed-form Eye-Diagram model for on-chip distortionless transmission lines with intentionally inserted shunt conductance. We derive expressions of Eye-opening both in voltage and time, by assuming a piece-wise linear waveform model. The model is experimentally verified. We also apply the proposed model to design trade-off analysis.

Thomas Moon - One of the best experts on this subject based on the ideXlab platform.

  • Multi-channel testing architecture for high-speed Eye-Diagram using pin electronics and subsampling monobit reconstruction algorithms
    2014 IEEE 32nd VLSI Test Symposium (VTS), 2014
    Co-Authors: Thomas Moon, Hyun Woo Choi, David C. Keezer, Abhijit Chatterjee
    Abstract:

    This paper proposes a new multi-channel testing architecture for high-speed Eye-Diagram. The proposed architecture reconstructs the Eye-Diagram of a multi-Gbps bit pattern with the combination of pin electronics and reconstruction algorithms. A scalability of the test system significantly increases in behalf of a monobit receiver and its designated reconstruction algorithm. A novel reconstruction algorithm using monobit receiver and subsampling clock enables the test system to monitor the signal quality in low-cost. The proposed architecture is implemented and demonstrated in a hardware prototype. Experiment with the hardware prototype shows that an Eye-Diagram of 3.2Gbps bit pattern can be reconstructed within sub-picosecond resolution by the proposed method with subsampling clock (below 100MHz).

  • VTS - Multi-channel testing architecture for high-speed Eye-Diagram using pin electronics and subsampling monobit reconstruction algorithms
    2014 IEEE 32nd VLSI Test Symposium (VTS), 2014
    Co-Authors: Thomas Moon, David C. Keezer, Hyun Choi, Abhijit Chatterjee
    Abstract:

    This paper proposes a new multi-channel testing architecture for high-speed Eye-Diagram. The proposed architecture reconstructs the Eye-Diagram of a multi-Gbps bit pattern with the combination of pin electronics and reconstruction algorithms. A scalability of the test system significantly increases in behalf of a monobit receiver and its designated reconstruction algorithm. A novel reconstruction algorithm using monobit receiver and subsampling clock enables the test system to monitor the signal quality in low-cost. The proposed architecture is implemented and demonstrated in a hardware prototype. Experiment with the hardware prototype shows that an Eye-Diagram of 3.2Gbps bit pattern can be reconstructed within sub-picosecond resolution by the proposed method with subsampling clock (below 100MHz).

Daniel H. Jung - One of the best experts on this subject based on the ideXlab platform.

  • A Novel Eye-Diagram Estimation Method for Pulse Amplitude Modulation With N-Level (PAM-N) on Stacked Through-Silicon Vias
    IEEE Transactions on Electromagnetic Compatibility, 2019
    Co-Authors: Junyong Park, Sumin Choi, Shinyoung Park, Daniel H. Jung, Gapyeol Park
    Abstract:

    This paper, for the first time, proposed a novel Eye-Diagram estimation method for pulse amplitude modulation with N-level (PAM-N) signaling. For verification, a through-silicon via (TSV) channel was fabricated. Because the input of the proposed method is an insertion loss, the loss of the fabricated TSV channel was measured up to 110 GHz. The estimated Eye Diagrams and measured Eye Diagrams were compared for the same TSV channel. The proposed method and measurements have nearly the same Eye-height and Eye-width values at data rates of 2, 4, and 8 Gb/s. Therefore, the proposed method successfully provides PAM-N's Eye Diagram with the insertion loss. Furthermore, bathtub curves were compared for the proposed method and measurements. The proposed method provides a bathtub curve up to 10-12, but in contrast, the measurements only provide a bathtub curve up to 10-5 due to a limited number of samples. Both of the bathtub curves are nearly the same up to 10-5 in amplitude. In conclusion, the Eye-Diagram estimation method for PAM-N signaling is successfully proposed and verified.

  • An Efficient Crosstalk-Included Eye-Diagram Estimation Method for High-Speed Interposer Channel on 2.5-D and 3-D IC
    IEEE Transactions on Electromagnetic Compatibility, 2017
    Co-Authors: Sumin Choi, Daniel H. Jung
    Abstract:

    In this paper, we first propose an efficient crosstalk-included Eye-Diagram estimation method for high-speed interposer channel on 2.5-D and three-dimensional (3-D) IC. The proposed method includes crosstalk effects from adjacent channels, which can considerably degrade the transmitted signal on a victim channel. This method enables to estimate worst and statistical Eye-Diagrams in a short time with high accuracy. While 3-D EM simulation has been widely used for accurate estimation of the channel performance, it requires substantial amount of computational time and resources. Therefore, in order to reduce the Eye-Diagram estimation time, the coupled microstrip channels are modeled using resistance, inductance, conductance, and capacitance components, whose values are derived from the physical dimensions. The equivalent circuit model can also enhance physical insight of the coupled channels. The proposed method is verified by time-domain simulations and measurements at data rates of up to 4 Gb/s. According to the estimated crosstalk-included Eye-Diagrams, the proposed method reduces simulation time over 99% and shows a high accuracy of approximately 93%. The proposed method is applied to the high-bandwidth memory channels on silicon, organic, and glass interposer.

  • crosstalk included Eye Diagram estimation for high speed silicon organic and glass interposer channels on 2 5d 3d ic
    IEEE International D Systems Integration Conference, 2015
    Co-Authors: Sumin Choi, Daniel H. Jung
    Abstract:

    In this paper, crosstalk-included Eye-Diagram of high-speed interposer channels are estimated and investigated. To analyze the crosstalk effect of various interposer channels, silicon, organic, and glass substrates are compared under the same physical structure and dimensions. Moreoever, crosstalk-included Eye-Diagrams are estimated in short time with high accuracy using 8 worst input cases. The estimated crosstalk-included Eye-Diagrams are analyzed at data rate of 1 and 2 Gbps.

  • 3DIC - Crosstalk-included Eye-Diagram estimation for high-speed silicon, organic, and glass interposer channels on 2.5D/3D IC
    2015 International 3D Systems Integration Conference (3DIC), 2015
    Co-Authors: Sumin Choi, Daniel H. Jung
    Abstract:

    In this paper, crosstalk-included Eye-Diagram of high-speed interposer channels are estimated and investigated. To analyze the crosstalk effect of various interposer channels, silicon, organic, and glass substrates are compared under the same physical structure and dimensions. Moreoever, crosstalk-included Eye-Diagrams are estimated in short time with high accuracy using 8 worst input cases. The estimated crosstalk-included Eye-Diagrams are analyzed at data rate of 1 and 2 Gbps.

  • A fast statistical Eye-Diagram estimation method including internal PDN noise of pseudo-differential receiver buffer
    2015 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), 2015
    Co-Authors: Sumin Choi, Daniel H. Jung
    Abstract:

    In this paper, a fast statistical Eye-Diagram estimation method including internal PDN noise of pseudo-differential receiver buffer is proposed. For fast BER calculation, the optimal-sized sets of receiver input and internal PDN noise for one unit-interval are employed. They are extracted based on the double-edge responses of the channel and the multiple-edge responses of the pseudo-differential receiver buffer at power/ground nets, respectively. Fast estimation time and accuracy of the proposed method are successfully verified by comparing to the SPICE-based transient simulation results.