Extreme-Ultraviolet Lithography

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 4698 Experts worldwide ranked by ideXlab platform

R.h. Stulen - One of the best experts on this subject based on the ideXlab platform.

  • Extreme Ultraviolet Lithography for 0.1 {micro}m Devices
    1999
    Co-Authors: S. Vaidya, D.w. Sweeney, R.h. Stulen, David T. Attwood
    Abstract:

    Extreme Ultraviolet Lithography (EUVL) has emerged as one of the leading successors to optics for 0.1 {micro}m IC fabrication. Its strongest attribute is the potential to scale to much finer resolution at high throughput. As such, this technique could meet the Lithography needs for Si ULSI down to fundamental device limits. In the US, Lawrence Livermore, Sandia, and Lawrence Berkeley National Laboratories are participating in an industry funded research effort to evolve EUV technology and build a prototype camera for lithographic exposure. More recently, both Europe and Japan have initiated government/industry sponsored programs in EUVL development. This talk will focus on our program successes to date, and highlight some of the challenges that still lie ahead.

  • Extreme ultraviolet Lithography for 0.1 /spl mu/m devices
    1999 International Symposium on VLSI Technology Systems and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453), 1999
    Co-Authors: S. Vaidya, R.h. Stulen, D. Sweeney, D. Attwood
    Abstract:

    Extreme Ultraviolet Lithography (EUVL) has emerged as one of the leading successors to optics for 0.1 /spl mu/m IC fabrication. Its strongest attribute is the potential to scale to much finer resolution at high throughput. As such, this technique could meet the Lithography needs for Si ULSI down to fundamental device limits. In the United States, Lawrence Livermore, Sandia, and Lawrence Berkeley Laboratories are participating in an industry funded research effort to evolve EUV technology and build a prototype camera for lithographic exposure. More recently, both Europe and Japan have initiated government/industry sponsored programs in EUVL development. This talk focuses on program successes to date, and highlights some of the challenges that still lie ahead.

  • Extreme ultraviolet Lithography
    IEEE Journal of Quantum Electronics, 1999
    Co-Authors: R.h. Stulen, D.w. Sweeney
    Abstract:

    Current microLithography used in high-volume integrated circuit manufacturing employs some form of optical projection technology. The most advanced tools use deep-ultraviolet (DUV) radiation having a wavelength of 248 nm and are used to print 250-nm features. These tools will likely be extended for use at the 180-nm generation and perhaps below. New DUV tools using 193-nm radiation are actively under development and are expected to be used for 130-nm generation and perhaps even 100-nm generation. Extending these DUV optical projection tools for manufacturing in the 100-200-nm region will be paced by the development of new high numerical aperture imaging systems and highly complex phase shift masks. For future generations of integrated circuits with minimum feature sizes below 100 nm, 193-nm tools will have great difficulty meeting all manufacturing requirements. This paper describes an alternate optical approach, for sub-100-nm generations, based on extreme ultraviolet radiation at around 13 nm, called extreme ultraviolet Lithography (EUVL). This approach uses a laser-produced plasma source of radiation, a reflective mask, and a 4/spl times/ reduction all-reflective imaging system. The technology is currently in the engineering development phase for an alpha machine. This paper reviews its current status and describes the basic modules or building blocks of a generic EUVL exposure tool.

  • 13-nm extreme ultraviolet Lithography
    IEEE Journal of Selected Topics in Quantum Electronics, 1995
    Co-Authors: R.h. Stulen
    Abstract:

    The National Technology Roadmap for Semiconductors projects the need for 0.1 micron Lithography for leading-edge IC production, beginning in the year 2007. Reduction imaging using extreme ultraviolet light, one-to-one printing using X-rays, and advanced electron beam technologies are the front runners for use at this design rule. This paper describes research and development activities for projection printing using extreme ultraviolet Lithography (EUVL). This approach, known as NX EUV, is the logical extension of optical projection Lithography and offers many of its advantages, including robust mask technology and reduction printing while maintaining a large depth of focus (DOF) at modest K-factor. The development of this technology is now in the research and development phase. This paper reviews its current status and describes the building blocks of a generic EUVL tool.

D.w. Sweeney - One of the best experts on this subject based on the ideXlab platform.

  • Defect repair for Extreme-Ultraviolet Lithography (EUVL) mask blanks
    Emerging Lithographic Technologies VII, 2003
    Co-Authors: Stefan P. Hau-riege, P. B. Mirkarimi, D.w. Sweeney, Eric M. Gullikson, Anton Barty, Daniel G. Stearns, Henry N. Chapman, W. Miles Clift, Moonsuk Yi
    Abstract:

    The development of defect-free reticle blanks is an important challenge facing the commercialization of extreme ultraviolet Lithography (EUVL). The basis of an EUVL reticle are mask blanks consisting of a substrate and a reflective Mo/Si multilayer. Defects on the substrate or defects introduced during multilayer deposition can result in critical phase and amplitude defects. Amplitude- or phase-defect repair techniques are being developed with the goal to repair many of these defects. In this paper we discuss the selection of a capping layer for amplitude-defect repair, and report on experimental results of the reflectance variation over the amplitude-defect repair zone for different capping layers. Our results suggest that carbon and silicon carbide are the leading candidates for capping layer materials. We further performed a quantitative assessment of the yield improvement due to defect repair. We found that amplitude- and phase-defect repair have the potential to significantly improve mask blank yield, and that yield can be maximized by increasing the number of Mo/Si bilayers.

  • High-power source and illumination system for extreme ultraviolet Lithography
    EUV X-Ray and Neutron Optics and Sources, 1999
    Co-Authors: Glenn D. Kubiak, Kevin D. Krenz, D.w. Sweeney, Luis J. Bernardez, William C. Replogle, William C. Sweatt, Russell M. Hudyma, Harry Shields
    Abstract:

    A clean, high-power Extreme Ultraviolet (EUV) light source is being developed for Extreme Ultraviolet Lithography (EUVL). The source is based on a continuous jet of condensable gas irradiated with a diode-pumped solid state laser producing a time-averaged output power of 1700 W at 5000 - 6000 Hz. An illumination system is being assembled to collect and deliver the EUV output from the source and deliver it to a reticle and projection optics box to achieve an EUV exposure rate equivalent to ten 300-mm wafers per hour.© (1999) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

  • Extreme Ultraviolet Lithography for 0.1 {micro}m Devices
    1999
    Co-Authors: S. Vaidya, D.w. Sweeney, R.h. Stulen, David T. Attwood
    Abstract:

    Extreme Ultraviolet Lithography (EUVL) has emerged as one of the leading successors to optics for 0.1 {micro}m IC fabrication. Its strongest attribute is the potential to scale to much finer resolution at high throughput. As such, this technique could meet the Lithography needs for Si ULSI down to fundamental device limits. In the US, Lawrence Livermore, Sandia, and Lawrence Berkeley National Laboratories are participating in an industry funded research effort to evolve EUV technology and build a prototype camera for lithographic exposure. More recently, both Europe and Japan have initiated government/industry sponsored programs in EUVL development. This talk will focus on our program successes to date, and highlight some of the challenges that still lie ahead.

  • Extreme ultraviolet Lithography
    IEEE Journal of Quantum Electronics, 1999
    Co-Authors: R.h. Stulen, D.w. Sweeney
    Abstract:

    Current microLithography used in high-volume integrated circuit manufacturing employs some form of optical projection technology. The most advanced tools use deep-ultraviolet (DUV) radiation having a wavelength of 248 nm and are used to print 250-nm features. These tools will likely be extended for use at the 180-nm generation and perhaps below. New DUV tools using 193-nm radiation are actively under development and are expected to be used for 130-nm generation and perhaps even 100-nm generation. Extending these DUV optical projection tools for manufacturing in the 100-200-nm region will be paced by the development of new high numerical aperture imaging systems and highly complex phase shift masks. For future generations of integrated circuits with minimum feature sizes below 100 nm, 193-nm tools will have great difficulty meeting all manufacturing requirements. This paper describes an alternate optical approach, for sub-100-nm generations, based on extreme ultraviolet radiation at around 13 nm, called extreme ultraviolet Lithography (EUVL). This approach uses a laser-produced plasma source of radiation, a reflective mask, and a 4/spl times/ reduction all-reflective imaging system. The technology is currently in the engineering development phase for an alpha machine. This paper reviews its current status and describes the basic modules or building blocks of a generic EUVL exposure tool.

Glenn D. Kubiak - One of the best experts on this subject based on the ideXlab platform.

  • High-power source and illumination system for extreme ultraviolet Lithography
    EUV X-Ray and Neutron Optics and Sources, 1999
    Co-Authors: Glenn D. Kubiak, Kevin D. Krenz, D.w. Sweeney, Luis J. Bernardez, William C. Replogle, William C. Sweatt, Russell M. Hudyma, Harry Shields
    Abstract:

    A clean, high-power Extreme Ultraviolet (EUV) light source is being developed for Extreme Ultraviolet Lithography (EUVL). The source is based on a continuous jet of condensable gas irradiated with a diode-pumped solid state laser producing a time-averaged output power of 1700 W at 5000 - 6000 Hz. An illumination system is being assembled to collect and deliver the EUV output from the source and deliver it to a reticle and projection optics box to achieve an EUV exposure rate equivalent to ten 300-mm wafers per hour.© (1999) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

  • Source technologies for extreme ultraviolet Lithography
    Technical Digest. Summaries of Papers Presented at the Conference on Lasers and Electro-Optics. Conference Edition. 1998 Technical Digest Series Vol.6, 1998
    Co-Authors: Glenn D. Kubiak
    Abstract:

    Summary form only given. Extreme ultraviolet Lithography (EUVL) is being developed for the production of integrated circuits with critical dimension (CD) 0.1 /spl mu/m EUVL employs all-reflective projection optical systems at exposure wavelengths in the 11-13 nm spectral region. An overview of EUVL is presented, and recent progress in the most demanding component technologies is summarized. One of these components is the source, which must produce 30-40 W of inband EUV radiation without damaging nearby condenser optical elements.

  • Use of attenuated phase masks in extreme ultraviolet Lithography
    Journal of Vacuum Science & Technology B, 1997
    Co-Authors: Obert R. Wood, J. E. Bjorkholm, Donald M. Tennant, A. A. Macdowell, Donald Lawrence White, L. E. Fetter, B. Lafontaine, Glenn D. Kubiak
    Abstract:

    We have used an attenuated phase mask, a mask with a π-phase shifting attenuator, in extreme ultraviolet Lithography at 13.9 nm wavelength to produce resist profiles with sharper, more vertical sidewalls.

  • Fabrication of metal–oxide–semiconductor devices with extreme ultraviolet Lithography
    Journal of Vacuum Science & Technology B, 1996
    Co-Authors: K. B. Nguyen, Glenn D. Kubiak, Gregory Frank Cardinale, Daniel A. Tichenor, Kurt W. Berger, Avijit K. Ray-chaudhuri, Yon E. Perras, Steven J. Haney, Rodney P. Nissen, Kevin D. Krenz
    Abstract:

    This article reports results from the successful fabrication of metal–oxide–semiconductor (MOS) devices with extreme ultraviolet Lithography. n‐type MOS transistors with gate lengths of 0.1 μm were fabricated and demonstrated good device characteristics. The alignment strategy, mask layout, mask fabrication, and device characteristics will be reported.

S. L. Baker - One of the best experts on this subject based on the ideXlab platform.

  • Fabrication and performance of nanoscale ultrasmooth programed defects for extreme ultraviolet Lithography
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 2008
    Co-Authors: D. L. Olynick, P. B. Mirkarimi, E. Spiller, S. L. Baker, Farhad Salmassi, J. Alexander Liddle, J Robinson
    Abstract:

    The authors have developed processes for producing nanoscale programed substrate defects that have applications in areas such as thin film growth, extreme ultraviolet Lithography, and defect inspection. Particle, line, pit, and scratch defects on the substrates between 40 and 140 nm wide, 50-90 nm high have been successfully produced using e-beam Lithography and plasma etching in both silicon and hydrogensilsesquioxane films. These programed defect substrates have several advantages over those produced previously using gold nanoparticles or polystyrene latex spheres-most notably, the ability to precisely locate features and produce recessed as well as bump-type features in ultrasmooth films. These programed defects were used to develop techniques for planarization of film defects and results are discussed. © 2008 American Vacuum Society.

  • An ion-assisted Mo-Si deposition process for planarizing reticle substrates for extreme ultraviolet Lithography
    IEEE Journal of Quantum Electronics, 2001
    Co-Authors: P. B. Mirkarimi, E. Spiller, D.g. Stearns, V. Sperry, S. L. Baker
    Abstract:

    Substrate particles are a serious concern in the fabrication of reticles for extreme ultraviolet Lithography (EUVL) because they nucleate defects in the reflective multilayer films that can print in the lithographic image. We have developed a strategy for planarizing reticle substrates with smoothing-layers and, in this letter, we investigate the smoothing properties of an ion-assisted Mo-Si deposition process. We have observed that ion-assistance can significantly improve the particle-smoothing properties of Mo-Si multilayer films and can do so without a significant increase in the high-spatial frequency roughness of the multilayer film. An ion-assisted Mo-Si smoothing-layer approach to reticle substrate planarization, therefore, shows significant promise for defect mitigation in EUVL reticles.

Eric M. Gullikson - One of the best experts on this subject based on the ideXlab platform.

  • Characterization of defect detection sensitivity in inspection of mask substrates and blanks for extreme ultraviolet Lithography
    2020
    Co-Authors: Edita Tejnil, Eric M. Gullikson, Alan R. Stivers
    Abstract:

    Defect detection sensitivity of a multi-beam confocal inspection system operating at a wavelength of 488 nm is characterized using experiments and image modeling. Experimental data on defect sensitivity are reported for programmed defects on mask substrates and blanks that are being developed for extreme ultraviolet Lithography. The effects of sample surface roughness on the detection sensitivity and signal-to-noise levels are quantified. Theoretical analysis of confocal imaging of defects is in excellent agreement with measured defect images. Modeling is used to predict inspection sensitivity for defects commonly found on mask blanks.

  • High transmission pellicles for extreme ultraviolet Lithography reticle protection
    Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials Processing Measurement and Phenomena, 2010
    Co-Authors: Yashesh Shroff, Michael J. Leeson, Eric M. Gullikson, Farhad Salmassi
    Abstract:

    The authors present the results of a full-field extreme ultraviolet (EUV) pellicle for reticle protection and defect mitigation. Based on novel microelectromechanical systems based fabrication, it comprises a 50 nm Si membrane attached to a wire-grid. Two types of pellicle fabrication techniques are described. The authors present the first actinic results of extreme ultraviolet Lithography reticle with pellicle exposed on IMEC Advanced Demo Tool. The impact of different pellicle types on imaging is evaluated as a function of pellicle standoff distance and mesh geometry. A new prototype pellicle has been developed with a measured transmission of 82% in EUV. Actinic exposures are complemented with aerial image modeling, thermal analysis, vacuum cycling, resist outgas tests, and >5 g repeated scan cycle robustness tests.

  • Effects of mask absorber structures on the extreme ultraviolet Lithography
    Journal of Vacuum Science & Technology B, 2008
    Co-Authors: Eric M. Gullikson
    Abstract:

    In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet Lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts of H-V bias reduction in wafer scale correspond to 80% (2.46–0.48nm) by CSM and 70% (2.23–0.65nm) by MET test results. Considering the fact that H-V bias in the MET is similar with that of simulation using the resist model, the degree of H-V bias in the alpha demo tool (ADT) is supposed to be much higher than that of MET due to its higher incident angle (θ=6°). Our final goal is to develop a thin absorber EUVL mask which has a low H-V bias, high EUV printability and DUV contrast, and sufficient optical density at the border. To achieve this, blind layer treatment and integration with anti-reflective coating layer are in progress.In this paper, the authors present the results of an investigation of the dependence of mask absorber thickness on the extreme ultraviolet Lithography (EUVL) and suggest a new mask structure to minimize shadowing effects. For this purpose, several patterned masks with various TaN absorber thicknesses are fabricated using in-house Ru-capped EUVL mask blanks. According to the simulation using practical refractive indices, which are obtained at EUV wavelengths, the absorber thickness can be reduced to that of out-of-phase (ΔΦ=180°) ranges without loss of image contrast and normalized image log slope. Thickness to meet out-of-phase in real mask can be obtained by comparing field spectrum intensity ratio using the EUV coherent scattering microscopy (CSM). 52.4nm in thickness is close to ΔΦ=180° for TaN absorber since it shows the highest 1st/0th order intensity ratio as well as the best resolution in the microfield exposure tool (MET) test. When we apply 40-nm-thick TaN instead of 80-nm-thick TaN, the amounts ...

  • Defect repair for Extreme-Ultraviolet Lithography (EUVL) mask blanks
    Emerging Lithographic Technologies VII, 2003
    Co-Authors: Stefan P. Hau-riege, P. B. Mirkarimi, D.w. Sweeney, Eric M. Gullikson, Anton Barty, Daniel G. Stearns, Henry N. Chapman, W. Miles Clift, Moonsuk Yi
    Abstract:

    The development of defect-free reticle blanks is an important challenge facing the commercialization of extreme ultraviolet Lithography (EUVL). The basis of an EUVL reticle are mask blanks consisting of a substrate and a reflective Mo/Si multilayer. Defects on the substrate or defects introduced during multilayer deposition can result in critical phase and amplitude defects. Amplitude- or phase-defect repair techniques are being developed with the goal to repair many of these defects. In this paper we discuss the selection of a capping layer for amplitude-defect repair, and report on experimental results of the reflectance variation over the amplitude-defect repair zone for different capping layers. Our results suggest that carbon and silicon carbide are the leading candidates for capping layer materials. We further performed a quantitative assessment of the yield improvement due to defect repair. We found that amplitude- and phase-defect repair have the potential to significantly improve mask blank yield, and that yield can be maximized by increasing the number of Mo/Si bilayers.

  • Characterization of multilayer reflective coatings for extreme ultraviolet Lithography
    AIP Conference Proceedings, 2001
    Co-Authors: Marco Wedowski, James H. Underwood, Eric M. Gullikson, Eberhard Spiller, Claude Montcalm, Patrick A. Kearney, S. Bajt, Mark A. Schmidt, James A. Folta
    Abstract:

    The synchrotron-based reflectometer at beamline 6.3.2 of the Advanced Light Source (ALS) in Berkeley is an important metrology tool within the current Extreme Ultraviolet Lithography (EUVL) program. This program is a joint activity of three National Laboratories and a consortium of leading semiconductor manufacturers. Its goal is the development of a technology for routine production of sub-100 nm feature sizes for microelectronic circuits. Multilayer-coated normal-incidence optical surfaces reflecting in the Extreme Ultraviolet (EUV) spectral range near 13 nm are the basis for this emerging technology. All optical components of EUV lithographic steppers need to be characterized at-wavelength during their development and manufacturing process. Multilayer coating uniformity and gradient, accurate wavelength matching and high peak reflectances are the main parameters to be optimized. The mechanical and optical properties of the reflectometer at ALS beamline 6.3.2 proved to be well suited for the needs of th...