Scanning Probe Lithography

14,000,000 Leading Edge Experts on the ideXlab platform

Scan Science and Technology

Contact Leading Edge Experts & Companies

Scan Science and Technology

Contact Leading Edge Experts & Companies

The Experts below are selected from a list of 2367 Experts worldwide ranked by ideXlab platform

Ricardo Garcia - One of the best experts on this subject based on the ideXlab platform.

  • sub 10 nm patterning of few layer mos2 and mose2 nanolectronic devices by oxidation Scanning Probe Lithography
    Applied Surface Science, 2021
    Co-Authors: Yu Kyoung Ryu, Arancha I Dago, Francisco Montero De Espinosa, Elena Lopezelvira, Carmen Munuera, Ricardo Garcia
    Abstract:

    Abstract The properties of 2D materials devices are very sensitive to the physical, chemical and structural interactions that might happen during processing. Low-invasive patterning methods are required to fabricate devices at the nanoscale. Here we developed a process that combines oxidation Scanning Probe Lithography (o-SPL) and oxygen plasma to fabricate nanoribbon field-effect transistors and nano-constrictions on few-layer MoS2 and MoSe2. The oxygen plasma has a double role in this process. First, it forms a thin, uniform oxide layer on top of the flake surface to enable o-SPL nanopatterning with full control of shape and size. Second, the oxide layer thins down the flake. Both plasma-based and o-SPL oxides are soluble in deionized H2O, which enabled etching and the definition of electrically isolated nano-constrictions and nanoribbons. The accuracy and robustness of the process was applied to pattern sub-10 nm wide constrictions and nanoribbon transistors.

  • direct patterning of p type doped few layer wse2 nanoelectronic devices by oxidation Scanning Probe Lithography
    ACS Applied Materials & Interfaces, 2018
    Co-Authors: Arancha I Dago, Yu Kyoung Ryu, F J Palomares, Ricardo Garcia
    Abstract:

    Direct, robust, and high-resolution patterning methods are needed to downscale the lateral size of two-dimensional materials to observe new properties and optimize the overall processing of these materials. In this work, we report a fabrication process where the initial microchannel of a few-layer WSe2 field-effect transistor is treated by oxygen plasma to form a self-limited oxide layer on top of the flake. This thin oxide layer has a double role here. First, it induces the so-called p-doping effect in the device. Second, it enables the fabrication of oxide nanoribbons with controlled width and depth by oxidation Scanning Probe Lithography (o-SPL). After the removal of the oxides by deionized H2O etching, a nanoribbon-based field-effect transistor is produced. Oxidation SPL is a direct writing technique that minimizes the use of resists and lithographic steps. We have applied this process to fabricate a 5 nm thick WSe2 field-effect transistor, where the channel consists in an array of 5 parallel 350 nm h...

  • chemical and structural analysis of sub 20 nm graphene patterns generated by Scanning Probe Lithography
    Carbon, 2018
    Co-Authors: Arancha I Dago, S Sangiao, Rodrigo Fernandezpacheco, Jose Maria De Teresa, Ricardo Garcia
    Abstract:

    Abstract Sub-20 nm patterns have been fabricated by using oxidation Scanning Probe Lithography on epitaxial graphene. The structural and chemical properties of these nanopatterns have been characterized by high resolution transmission electron microscopy, energy dispersive X-ray spectroscopy and electron energy loss spectroscopy. The electron microscopy images reveal that the nanoLithography process modifies the graphene monolayer and a thin region of the SiC substrate (1 nm thick). Spatially-resolved electron spectroscopies show that the nanopatterns are made of graphene oxide. The combination of spatially-resolved structural and chemical analysis of graphene nanopatterns will enable the development of high-performance graphene devices.

  • advanced oxidation Scanning Probe Lithography
    Nanotechnology, 2017
    Co-Authors: Yu Kyoung Ryu, Ricardo Garcia
    Abstract:

    Force microscopy enables a variety of approaches to manipulate and/or modify surfaces. Few of those methods have evolved into advanced Probe-based lithographies. Oxidation Scanning Probe Lithography (o-SPL) is the only Lithography that enables the direct and resist-less nanoscale patterning of a large variety of materials, from metals to semiconductors; from self-assembled monolayers to biomolecules. Oxidation SPL has also been applied to develop sophisticated electronic and nanomechanical devices such as quantum dots, quantum point contacts, nanowire transistors or mechanical resonators. Here, we review the principles, instrumentation aspects and some device applications of o-SPL. Our focus is to provide a balanced view of the method that introduces the key steps in its evolution, provides some detailed explanations on its fundamentals and presents current trends and applications. To illustrate the capabilities and potential of o-SPL as an alternative Lithography we have favored the most recent and updated contributions in nanopatterning and device fabrication.

  • sub 20 nm patterning of thin layer wse2 by Scanning Probe Lithography
    Applied Physics Letters, 2016
    Co-Authors: Arancha I Dago, Yu Kyoung Ryu, Ricardo Garcia
    Abstract:

    The electronic properties of thin layer transition metal dichalcogenides have raised considerable interest in the fabrication of advanced field-effect transistors and ultrasensitive sensors. Downscaling those devices to the nanoscale depends on the development of cost-effective and robust alternative nanolithographies. Here we demonstrate the direct, resist-less and reproducible nanopatterning of tungsten diselenide thin layers. By using oxidation Scanning Probe Lithography (o-SPL) we have generated arrays of dots with a width of 13 nm and periodicity of 40 nm. We have also patterned a point contact of 35 nm and a nanoscale field-effect transistor. The direct and resistless fabrication of WSe2 nanoscale devices by oxidation Scanning Probe Lithography opens a straightforward and reliable method for processing transition metal dichalcogenides materials.

Yu Kyoung Ryu - One of the best experts on this subject based on the ideXlab platform.

  • sub 10 nm patterning of few layer mos2 and mose2 nanolectronic devices by oxidation Scanning Probe Lithography
    Applied Surface Science, 2021
    Co-Authors: Yu Kyoung Ryu, Arancha I Dago, Francisco Montero De Espinosa, Elena Lopezelvira, Carmen Munuera, Ricardo Garcia
    Abstract:

    Abstract The properties of 2D materials devices are very sensitive to the physical, chemical and structural interactions that might happen during processing. Low-invasive patterning methods are required to fabricate devices at the nanoscale. Here we developed a process that combines oxidation Scanning Probe Lithography (o-SPL) and oxygen plasma to fabricate nanoribbon field-effect transistors and nano-constrictions on few-layer MoS2 and MoSe2. The oxygen plasma has a double role in this process. First, it forms a thin, uniform oxide layer on top of the flake surface to enable o-SPL nanopatterning with full control of shape and size. Second, the oxide layer thins down the flake. Both plasma-based and o-SPL oxides are soluble in deionized H2O, which enabled etching and the definition of electrically isolated nano-constrictions and nanoribbons. The accuracy and robustness of the process was applied to pattern sub-10 nm wide constrictions and nanoribbon transistors.

  • oxidation and thermal Scanning Probe Lithography for high resolution nanopatterning and nanodevices
    2019
    Co-Authors: Yu Kyoung Ryu, Armin W Knoll
    Abstract:

    The strength of Scanning Probe Lithography (SPL) lies in the operation at ambient conditions, sub-10 nm resolution capabilities, the in situ non-destructive inspection of the fabricated structures, the nanometric accuracy in positioning, the versatility in modifying any kind of materials, and the freedom in the patterning geometries. On the other hand, the tip size and lifetime-related issues hinder the achievable throughput, and a precise niche of application has yet to be determined for its implementation in technological applications. The complementarity of the high-resolution and precise positioning patterning by SPL and the high throughput and low-resolution patterning by other well-established lithographies (optical, electron beam, nanoimprint) can be achieved by the development of mix-and-match Lithography strategies.

  • direct patterning of p type doped few layer wse2 nanoelectronic devices by oxidation Scanning Probe Lithography
    ACS Applied Materials & Interfaces, 2018
    Co-Authors: Arancha I Dago, Yu Kyoung Ryu, F J Palomares, Ricardo Garcia
    Abstract:

    Direct, robust, and high-resolution patterning methods are needed to downscale the lateral size of two-dimensional materials to observe new properties and optimize the overall processing of these materials. In this work, we report a fabrication process where the initial microchannel of a few-layer WSe2 field-effect transistor is treated by oxygen plasma to form a self-limited oxide layer on top of the flake. This thin oxide layer has a double role here. First, it induces the so-called p-doping effect in the device. Second, it enables the fabrication of oxide nanoribbons with controlled width and depth by oxidation Scanning Probe Lithography (o-SPL). After the removal of the oxides by deionized H2O etching, a nanoribbon-based field-effect transistor is produced. Oxidation SPL is a direct writing technique that minimizes the use of resists and lithographic steps. We have applied this process to fabricate a 5 nm thick WSe2 field-effect transistor, where the channel consists in an array of 5 parallel 350 nm h...

  • fast turnaround fabrication of silicon point contact quantum dot transistors using combined thermal Scanning Probe Lithography and laser writing
    Nanotechnology, 2018
    Co-Authors: Colin Rawlings, Armin W Knoll, Z A K Durrani, Yu Kyoung Ryu, Urs T Duerig, Christian Schwemmer, Matthieu Ruegg, Nolan Lassaline, Chen Wang
    Abstract:

    The fabrication of high-performance solid-state silicon quantum-devices requires high resolution patterning with minimal substrate damage. We have fabricated room temperature (RT) single-electron transistors (SETs) based on point-contact tunnel junctions using a hybrid Lithography tool capable of both high resolution thermal Scanning Probe Lithography and high throughput direct laser writing. The best focal z-position and the offset of the tip- and the laser-writing positions were determined in situ with the Scanning Probe. We demonstrate 1020/cm3 silicon on insulator chips using a CMOS compatible geometric oxidation process. The characteristics of the three devices investigated were dominated by the presence of Si nanocrystals or phosphorous atoms embedded within the SiO2, forming quantum dots (QDs). The small size and strong localisation of electrons on the QDs facilitated SET operation even at RT. Temperature measurements showed that in the range 300 K > T > ~100 K, the current flow was thermally activated but at <100 K, it was dominated by tunnelling.

  • thermal Scanning Probe Lithography for the directed self assembly of block copolymers
    Nanotechnology, 2017
    Co-Authors: S Gottlieb, Armin W Knoll, Colin Rawlings, Yu Kyoung Ryu, Martin Spieser, Matteo Lorenzoni, Laura Evangelio, Marta Fernandezregulez, F Perezmurano
    Abstract:

    Thermal Scanning Probe Lithography (t-SPL) is applied to the fabrication of chemical guiding patterns for directed self-assembly (DSA) of block copolymers (BCP). The two key steps of the overall process are the accurate patterning of a poly(phthalaldehyde) resist layer of only 3.5 nm thickness, and the subsequent oxygen-plasma functionalization of an underlying neutral poly(styrene-random-methyl methacrylate) brush layer. We demonstrate that this method allows one to obtain aligned line/space patterns of poly(styrene-block-methyl methacrylate) BCP of 18.5 and 11.7 nm half-pitch. Defect-free alignment has been demonstrated over areas of tens of square micrometres. The main advantages of t-SPL are the absence of proximity effects, which enables the realization of patterns with 10 nm resolution, and its compatibility with standard DSA methods. In the brush activation step by oxygen-plasma exposure, we observe swelling of the brush. This effect is discussed in terms of the chemical reactions occurring in the exposed areas. Our results show that t-SPL can be a suitable method for research activities in the field of DSA, in particular for low-pitch, high-χ BCP to achieve sub-10 nm line/space patterns.

Elisa Riedo - One of the best experts on this subject based on the ideXlab platform.

  • patterning metal contacts and dopants on two dimensional materials by thermal Scanning Probe Lithography
    https: doi.org 10.1117 12.2583555, 2021
    Co-Authors: Xiangyu Liu, Annalisa Calo, Edoardo Albisetti, Xiaorui Zheng, Elisa Riedo
    Abstract:

    Two-dimensional (2D) materials are attracting significant interests in the nanoelectronics community due to their various electrical properties, atomic-scale thickness, and mechanical flexibility. The current challenge to fabricate high performance 2D materials-based electronic devices is the poor quality of the metal contacts, the difficulty to pattern dopants, and the high fabrication cost. To overcome these challenges, here, we show that using thermal Scanning Probe Lithography (t-SPL), record performing high-quality metal contacts can be fabricated cost-effectively on 2D materials, resulting in high performance 2D materials-based field effect transistors. Moreover, we show that by integrating t-SPL with a gas flow chamber, t-SPL can be used to achieve nanoscale bipolar doping of 2D materials. This t-SPL method opens a new way to cost-effectively fabricate high performance 2D materials-based nanoelectronic devices and can be easily scaled up using parallel Probe arrays.

  • nanofabrication of graphene field effect transistors by thermal Scanning Probe Lithography
    APL Materials, 2021
    Co-Authors: Xiangyu Liu, Xiaorui Zheng, Zhujun Huang, Davood Shahrjerdi, Elisa Riedo
    Abstract:

    The development of a scalable and cost-effective nanofabrication method is of key importance for future advances in nanoelectronics. Thermal Scanning Probe Lithography (t-SPL) is a growing nanopatterning method with potential for parallelization, offering unique capabilities that make it an attractive candidate for industrial nanomanufacturing. Here, we demonstrate the possibility to apply t-SPL for the fabrication of graphene devices. In particular, we use t-SPL to produce high performing graphene-based field effect transistors (FETs). The here described t-SPL process includes the fabrication of high-quality metal contacts, as well as patterning and etching of graphene to define the active region of the device. The electrical measurements on the t-SPL fabricated FETs indicate a symmetric conductance at the Dirac point and a low specific contact resistance without the use of any contact engineering strategy. The entire t-SPL nanofabrication process is performed without the need for masks, and in ambient conditions. Furthermore, thanks to the t-SPL in situ simultaneous patterning and imaging capability, no markers are required. These features substantially decrease fabrication time and cost.

  • thermal Scanning Probe Lithography from spintronics to biomedical applications
    Novel Patterning Technologies 2018, 2018
    Co-Authors: Elisa Riedo, Daniela Petti, Edoardo Albisetti, Annalisa Calo, Xiaorui Zheng, Riccardo Bertacco
    Abstract:

    The search of novel tools controlling the physical and chemical properties of matter at the nanoscale is crucial for developing next-generation integrated systems, with applications ranging from computing to medicine. Here, we show that thermal Scanning Probe Lithography (t-SPL) can be a flexible tool for manipulating with nanoscale precision the surface properties of a wide range of specifically designed systems. In particular, we show that via t-SPL, we pattern nanoscale chemical patterns on polymeric substrates, which are then used to specifically bind extracellular matrix (ECM) proteins to the polymer surface. We demonstrate that the concentration of immobilized proteins can be controlled by varying the tip temperature, so that nanoscale protein gradients can be created. On a different system, we show that, by performing t-SPL on a thin film magnetic multilayer, in an external magnetic field, we are able to write reversibly magnetic patterns with arbitrarily oriented magnetization and tunable magnetic anisotropy. This demonstrates that t-SPL represents a novel, straightforward and extremely versatile method for the nanoscale engineering of the physicalchemical properties in a wide variety of materials.

  • thermochemical Scanning Probe Lithography of protein gradients at the nanoscale
    Nanotechnology, 2016
    Co-Authors: Elisa Riedo, Daniela Petti, Edoardo Albisetti, Riccardo Bertacco, Keith M Carroll, Jennifer E Curtis
    Abstract:

    Patterning nanoscale protein gradients is crucial for studying a variety of cellular processes in vitro. Despite the recent development in nano-fabrication technology, combining nanometric resolution and fine control of protein concentrations is still an open challenge. Here, we demonstrate the use of thermochemical Scanning Probe Lithography (tc-SPL) for defining micro- and nano-sized patterns with precisely controlled protein concentration. First, tc-SPL is performed by Scanning a heatable atomic force microscopy tip on a polymeric substrate, for locally exposing reactive amino groups on the surface, then the substrate is functionalized with streptavidin and laminin proteins. We show, by fluorescence microscopy on the patterned gradients, that it is possible to precisely tune the concentration of the immobilized proteins by varying the patterning parameters during tc-SPL. This paves the way to the use of tc-SPL for defining protein gradients at the nanoscale, to be used as chemical cues e.g. for studying and regulating cellular processes in vitro.

  • advanced Scanning Probe Lithography
    Nature Nanotechnology, 2014
    Co-Authors: Ricardo Garcia, Armin W Knoll, Elisa Riedo
    Abstract:

    This article reviews the fundamentals and applications of Scanning Probe Lithography, focusing on the methods that offer genuinely lithographic capabilities such as those based on thermal effects, chemical reactions and voltage-induced processes.

Armin W Knoll - One of the best experts on this subject based on the ideXlab platform.

  • thermal Scanning Probe Lithography t spl for nano fabrication
    2019 Pan Pacific Microelectronics Symposium (Pan Pacific), 2019
    Co-Authors: Heiko Wolf, Armin W Knoll, Colin Rawlings, Martin Spieser, Yu Ryu K Cho, S Karg, Philipp Mensch, Christian Schwemmer, Samuel Bisig, Philip Paul
    Abstract:

    Thermal Scanning Probe Lithography (t-SPL) is a direct-write patterning method that creates high-resolution features with a heated Scanning Probe tip in an organic resist material. It is able to produce dense high-resolution patterns with sub-20 nm half-pitch at ambient conditions which can be transferred into silicon substrates using a hard-mask patterning stack and reactive ion etching (RIE). Feature sizes of transferred lines can be as small as 7 nm. Linear write speeds of up to 20 mm/s can be achieved. Different from e-beam Lithography (EBL), in t-SPL proximity effects are absent and substrate damage of sensitive materials caused by high energy electrons is avoided. A direct inspection of the patterned area is provided during the writing process. Overlay patterning without additional alignment marks onto pre-existing structures is another feature of the t-SPL method. Existing device structures can be located precisely under a resist stack with the local Probe tip and the additional target structures can then be generated with $\lt 5$ nm-precise overlay alignment. One further strength of tSPL is the capability of producing 3D patterns. The process can be controlled to produce 3D structures with $\approx 1$ nm $(1 \sigma)$ depth accuracy. Examples of unique devices fabricated by tSPL will be discussed.

  • oxidation and thermal Scanning Probe Lithography for high resolution nanopatterning and nanodevices
    2019
    Co-Authors: Yu Kyoung Ryu, Armin W Knoll
    Abstract:

    The strength of Scanning Probe Lithography (SPL) lies in the operation at ambient conditions, sub-10 nm resolution capabilities, the in situ non-destructive inspection of the fabricated structures, the nanometric accuracy in positioning, the versatility in modifying any kind of materials, and the freedom in the patterning geometries. On the other hand, the tip size and lifetime-related issues hinder the achievable throughput, and a precise niche of application has yet to be determined for its implementation in technological applications. The complementarity of the high-resolution and precise positioning patterning by SPL and the high throughput and low-resolution patterning by other well-established lithographies (optical, electron beam, nanoimprint) can be achieved by the development of mix-and-match Lithography strategies.

  • fast turnaround fabrication of silicon point contact quantum dot transistors using combined thermal Scanning Probe Lithography and laser writing
    Nanotechnology, 2018
    Co-Authors: Colin Rawlings, Armin W Knoll, Z A K Durrani, Yu Kyoung Ryu, Urs T Duerig, Christian Schwemmer, Matthieu Ruegg, Nolan Lassaline, Chen Wang
    Abstract:

    The fabrication of high-performance solid-state silicon quantum-devices requires high resolution patterning with minimal substrate damage. We have fabricated room temperature (RT) single-electron transistors (SETs) based on point-contact tunnel junctions using a hybrid Lithography tool capable of both high resolution thermal Scanning Probe Lithography and high throughput direct laser writing. The best focal z-position and the offset of the tip- and the laser-writing positions were determined in situ with the Scanning Probe. We demonstrate 1020/cm3 silicon on insulator chips using a CMOS compatible geometric oxidation process. The characteristics of the three devices investigated were dominated by the presence of Si nanocrystals or phosphorous atoms embedded within the SiO2, forming quantum dots (QDs). The small size and strong localisation of electrons on the QDs facilitated SET operation even at RT. Temperature measurements showed that in the range 300 K > T > ~100 K, the current flow was thermally activated but at <100 K, it was dominated by tunnelling.

  • sub 10 nanometer feature size in silicon using thermal Scanning Probe Lithography
    ACS Nano, 2017
    Co-Authors: Yu Kyoung Ryu Cho, Colin Rawlings, Martin Spieser, Heiko Wolf, Samuel Bisig, Steffen Reidt, Marilyne Sousa, Subarna Khanal, Tevis D B Jacobs, Armin W Knoll
    Abstract:

    High-resolution Lithography often involves thin resist layers which pose a challenge for pattern characterization. Direct evidence that the pattern was well-defined and can be used for device fabrication is provided if a successful pattern transfer is demonstrated. In the case of thermal Scanning Probe Lithography (t-SPL), highest resolutions are achieved for shallow patterns. In this work, we study the transfer reliability and the achievable resolution as a function of applied temperature and force. Pattern transfer was reliable if a pattern depth of more than 3 nm was reached and the walls between the patterned lines were slightly elevated. Using this geometry as a benchmark, we studied the formation of 10–20 nm half-pitch dense lines as a function of the applied force and temperature. We found that the best pattern geometry is obtained at a heater temperature of ∼600 °C, which is below or close to the transition from mechanical indentation to thermal evaporation. At this temperature, there still is con...

  • thermal Scanning Probe Lithography for the directed self assembly of block copolymers
    Nanotechnology, 2017
    Co-Authors: S Gottlieb, Armin W Knoll, Colin Rawlings, Yu Kyoung Ryu, Martin Spieser, Matteo Lorenzoni, Laura Evangelio, Marta Fernandezregulez, F Perezmurano
    Abstract:

    Thermal Scanning Probe Lithography (t-SPL) is applied to the fabrication of chemical guiding patterns for directed self-assembly (DSA) of block copolymers (BCP). The two key steps of the overall process are the accurate patterning of a poly(phthalaldehyde) resist layer of only 3.5 nm thickness, and the subsequent oxygen-plasma functionalization of an underlying neutral poly(styrene-random-methyl methacrylate) brush layer. We demonstrate that this method allows one to obtain aligned line/space patterns of poly(styrene-block-methyl methacrylate) BCP of 18.5 and 11.7 nm half-pitch. Defect-free alignment has been demonstrated over areas of tens of square micrometres. The main advantages of t-SPL are the absence of proximity effects, which enables the realization of patterns with 10 nm resolution, and its compatibility with standard DSA methods. In the brush activation step by oxygen-plasma exposure, we observe swelling of the brush. This effect is discussed in terms of the chemical reactions occurring in the exposed areas. Our results show that t-SPL can be a suitable method for research activities in the field of DSA, in particular for low-pitch, high-χ BCP to achieve sub-10 nm line/space patterns.

Marcus Kaestner - One of the best experts on this subject based on the ideXlab platform.

  • Scanning Probe Lithography on calixarene towards single digit nanometer fabrication
    International Journal of Extreme Manufacturing, 2020
    Co-Authors: Marcus Kaestner, I W Rangelow
    Abstract:

    Cost effective patterning based on Scanning Probe nanoLithography (SPL) has the potential for electronic and optical nano-device manufacturing and other nanotechnological applications. One of the fundamental advantages of SPL is its capability for patterning and imaging employing the same Probe. This is achieved with self-sensing and self-actuating cantilevers, also known as 'active' cantilevers. Here we used active cantilevers to demonstrate a novel path towards single digit nanoscale patterning by employing a low energy (

  • room temperature single dopant atom quantum dot transistors in silicon formed by field emission Scanning Probe Lithography
    Journal of Applied Physics, 2018
    Co-Authors: Z A K Durrani, Claudia Lenk, Steve Lenk, Ivo W. Rangelow, Mervyn Jones, Faris Abualnaja, Chen Wang, Marcus Kaestner, A D Andreev
    Abstract:

    Electrical operation of room-temperature (RT) single dopant atom quantum dot (QD) transistors, based on phosphorous atoms isolated within nanoscale SiO2 tunnel barriers, is presented. In contrast to single dopant transistors in silicon, where the QD potential well is shallow and device operation limited to cryogenic temperature, here, a deep (∼2 eV) potential well allows electron confinement at RT. Our transistors use ∼10 nm size scale Si/SiO2/Si point-contact tunnel junctions, defined by Scanning Probe Lithography and geometric oxidation. “Coulomb diamond” charge stability plots are measured at 290 K, with QD addition energy ∼0.3 eV. Theoretical simulation gives a QD size of similar order to the phosphorous atom separation ∼2 nm. Extraction of energy states predicts an anharmonic QD potential, fitted using a Morse oscillator-like potential. The results extend single-atom transistor operation to RT, enable tunneling spectroscopy of impurity atoms in insulators, and allow the energy landscape for P atoms in SiO2 to be determined.Electrical operation of room-temperature (RT) single dopant atom quantum dot (QD) transistors, based on phosphorous atoms isolated within nanoscale SiO2 tunnel barriers, is presented. In contrast to single dopant transistors in silicon, where the QD potential well is shallow and device operation limited to cryogenic temperature, here, a deep (∼2 eV) potential well allows electron confinement at RT. Our transistors use ∼10 nm size scale Si/SiO2/Si point-contact tunnel junctions, defined by Scanning Probe Lithography and geometric oxidation. “Coulomb diamond” charge stability plots are measured at 290 K, with QD addition energy ∼0.3 eV. Theoretical simulation gives a QD size of similar order to the phosphorous atom separation ∼2 nm. Extraction of energy states predicts an anharmonic QD potential, fitted using a Morse oscillator-like potential. The results extend single-atom transistor operation to RT, enable ...

  • room temperature single dopant atom quantum dot transistors in silicon formed by field emission Scanning Probe Lithography
    Journal of Applied Physics, 2018
    Co-Authors: Z A K Durrani, Claudia Lenk, Steve Lenk, Ivo W. Rangelow, Mervyn Jones, Faris Abualnaja, Chen Wang, Marcus Kaestner, A D Andreev
    Abstract:

    Electrical operation of room-temperature (RT) single dopant atom quantum dot (QD) transistors, based on phosphorous atoms isolated within nanoscale SiO2 tunnel barriers, is presented. In contrast to single dopant transistors in silicon, where the QD potential well is shallow and device operation limited to cryogenic temperature, here, a deep (∼2 eV) potential well allows electron confinement at RT. Our transistors use ∼10 nm size scale Si/SiO2/Si point-contact tunnel junctions, defined by Scanning Probe Lithography and geometric oxidation. “Coulomb diamond” charge stability plots are measured at 290 K, with QD addition energy ∼0.3 eV. Theoretical simulation gives a QD size of similar order to the phosphorous atom separation ∼2 nm. Extraction of energy states predicts an anharmonic QD potential, fitted using a Morse oscillator-like potential. The results extend single-atom transistor operation to RT, enable tunneling spectroscopy of impurity atoms in insulators, and allow the energy landscape for P atoms in SiO2 to be determined.

  • nanofabrication by field emission Scanning Probe Lithography and cryogenic plasma etching
    Microelectronic Engineering, 2018
    Co-Authors: Claudia Lenk, Yana Krivoshapkina, Martin Hofmann, Steve Lenk, Tzvetan Ivanov, Mathias Holz, Marcus Kaestner, Diana Nechepurenko, B Volland, Ahmad Ahmad
    Abstract:

    Building low-power and high-density circuits requires new devices, which can be based for example on single electron effects. Single electron transistors (SET), which can operate at room temperature (RT), are candidates with high potential for the post-CMOS era. However, their fabrication relies typically on a statistical fabrication of quantum dots or positioning of nanoparticles or molecules between predefined electrodes. These methods hamper a scaled-up fabrication of RT-SETs. Here, we present a route for reproducible fabrication of RT-SETs on the basis of field-emission Scanning Probe Lithography (FE-SPL) and cryogenic reactive ion etching. Due to the unique capabilities of our FE-SPL tool, enabling pre- and post-inspection of features, highly reliable patterning and precise feature alignment are obtained. The fabricated devices exhibit single electron effects at RT. A combination of this method with nanoimprint Lithography would enable a high throughput and reproducible way of RT-SET fabrication. Display Omitted Field-emission Scanning Probe Lithography is a single digit nano-resolution structuring method.Cryogenic reactive ion etching enables anisotropic transfer of high aspect ratio nano patterns.Reproducible manufacturing of RT-SETs is empowered by FE-SPL combined with cryo-RIE.

  • field emission Scanning Probe Lithography with self actuating and self sensing cantilevers for devices with single digit nanometer dimensions
    https: doi.org 10.1117 12.2299955, 2018
    Co-Authors: I W Rangelow, Claudia Lenk, Martin Hofmann, Steve Lenk, Tzvetan Ivanov, Ahmad Ahmad, Marcus Kaestner, Elshad Guliyev, Christoph Reuter, Matthias Budden
    Abstract:

    Cost-effective generation of single-digit nano-lithographic features could be the way by which novel nanoelectronic devices, as single electron transistors combined with sophisticated CMOS integrated circuits, can be obtained. The capabilities of Field-Emission Scanning Probe Lithography (FE-SPL) and reactive ion etching (RIE) at cryogenic temperature open up a route to overcome the fundamental size limitations in nanofabrication. FE-SPL employs Fowler-Nordheim electron emission from the tip of a Scanning Probe in ambient conditions. The energy of the emitted electrons (<100 eV) is close to the lithographically relevant chemical excitations of the resist, thus strongly reducing proximity effects. The use of active, i.e. self-sensing and self-actuated, cantilevers as Probes for FE-SPL leads to several promising performance benefits. These include: (1) Closed-loop Lithography including pre-imaging, overlay alignment, exposure, and post-imaging for feature inspection; (2) Sub-5-nm lithographic resolution with sub-nm line edge roughness; (3) High overlay alignment accuracy; (4) Relatively low costs of ownership, since no vacuum is needed, and ease-of-use. Thus, FE-SPL is a promising tool for rapid nanoscale prototyping and fabrication of high resolution nanoimprint Lithography templates. To demonstrate its capabilities we applied FE-SPL and RIE to fabricate single electron transistors (SET) targeted to operate at room temperature. Electrical characterization of these SET confirmed that the smallest functional structures had a diameter of only 1.8 nanometers. Devices at single digit nano-dimensions contain only a few dopant atoms and thus, these might be used to store and process quantum information by employing the states of individual atoms.